Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: flipflop_d Download
 Description: Xilinx Verilog D flip-flop is absolutely easy
 Downloaders recently: [More information of uploader 小波]
 To Search:
File list (Check if you may need any files):
 

flipflop_d\flipflop_d.ise
..........\flipflop_d.ise_ISE_Backup
..........\flipflop_d.restore
..........\flipflop_d.v
..........\flipflop_d_ise10migration.zip
..........\flipflop_d_stx.prj
..........\flipflop_d_summary.html
..........\isim.cmd
..........\isim.hdlsourcefiles
..........\isim.log
..........\.....tmp_save\_1
..........\isimwavedata.xwv
..........\simulate_dofile.log
..........\test.ant
..........\test.jhd
..........\test.tbw
..........\test.tfw
..........\test.xwv
..........\test.xwv_bak
..........\test_beh.prj
..........\test_bencher.prj
..........\test_isim_beh.exe
..........\test_isim_beh.wfs
..........\xilinxsim.ini
..........\_xmsgs\fuse.xmsgs
..........\__ISE_repository_flipflop_d.ise_.lock
..........\flipflop_d_xdb\tmp
..........\flipflop_d_xdb
..........\isim.tmp_save
..........\_xmsgs
flipflop_d
    

CodeBus www.codebus.net