Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: first_zynq_design Download
 Description: zedboard vhdl code to control led on the board
 Downloaders recently: [More information of uploader 无尽]
 To Search:
File list (Check if you may need any files):
 

first_zynq_design.cache\wt\java_command_handlers.wdf
.......................\..\synthesis.wdf
.......................\..\webtalk_pa.xml
..................hw\hw_1\xc7z020_1\dashboard\XADC.xml
..................runs\.jobs\vrs_config_1.xml
......................\impl_1\.init_design.begin.rst
......................\......\.init_design.end.rst
......................\......\.opt_design.begin.rst
......................\......\.opt_design.end.rst
......................\......\.place_design.begin.rst
......................\......\.place_design.end.rst
......................\......\.route_design.begin.rst
......................\......\.route_design.end.rst
......................\......\.Vivado Implementation.queue.rst
......................\......\.vivado.begin.rst
......................\......\.vivado.end.rst
......................\......\.write_bitstream.begin.rst
......................\......\.write_bitstream.end.rst
......................\......\gen_run.xml
......................\......\htr.txt
......................\......\init_design.pb
......................\......\ISEWrap.js
......................\......\ISEWrap.sh
......................\......\opt_design.pb
......................\......\place_design.pb
......................\......\project.wdf
......................\......\route_design.pb
......................\......\rundef.js
......................\......\runme.bat
......................\......\runme.log
......................\......\runme.sh
......................\......\usage_statistics_webtalk.html
......................\......\usage_statistics_webtalk.xml
......................\......\vivado.jou
......................\......\vivado.pb
......................\......\write_bitstream.pb
......................\......\zynq_system_1_wrapper.bit
......................\......\zynq_system_1_wrapper.sysdef
......................\......\zynq_system_1_wrapper.tcl
......................\......\zynq_system_1_wrapper.vdi
......................\......\zynq_system_1_wrapper_clock_utilization_placed.rpt
......................\......\zynq_system_1_wrapper_control_sets_placed.rpt
......................\......\zynq_system_1_wrapper_drc_routed.pb
......................\......\zynq_system_1_wrapper_drc_routed.rpt
......................\......\zynq_system_1_wrapper_io_placed.rpt
......................\......\zynq_system_1_wrapper_opt.dcp
......................\......\zynq_system_1_wrapper_placed.dcp
......................\......\zynq_system_1_wrapper_power_routed.rpt
......................\......\zynq_system_1_wrapper_power_summary_routed.pb
......................\......\zynq_system_1_wrapper_routed.dcp
......................\......\zynq_system_1_wrapper_route_status.pb
......................\......\zynq_system_1_wrapper_route_status.rpt
......................\......\zynq_system_1_wrapper_timing_summary_routed.pb
......................\......\zynq_system_1_wrapper_timing_summary_routed.rpt
......................\......\zynq_system_1_wrapper_utilization_placed.pb
......................\......\zynq_system_1_wrapper_utilization_placed.rpt
......................\synth_1\.Vivado Synthesis.queue.rst
......................\.......\.vivado.begin.rst
......................\.......\.vivado.end.rst
......................\.......\.Xil\zynq_system_1_wrapper_propImpl.xdc
......................\.......\dont_touch.xdc
......................\.......\gen_run.xml
......................\.......\htr.txt
......................\.......\ISEWrap.js
......................\.......\ISEWrap.sh
......................\.......\rundef.js
......................\.......\runme.bat
......................\.......\runme.log
......................\.......\runme.sh
......................\.......\vivado.jou
......................\.......\vivado.pb
......................\.......\zynq_system_1_wrapper.dcp
......................\.......\zynq_system_1_wrapper.hwdef
......................\.......\zynq_system_1_wrapper.tcl
......................\.......\zynq_system_1_wrapper.vds
......................\.......\zynq_system_1_wrapper_utilization_synth.pb
......................\.......\z

CodeBus www.codebus.net