Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: CRC_16 Download
 Description: The algorithm is: X^16+X^12+X^5 The simulation verification passed, very good, you can use it to try it.
 To Search:
File list (Check if you may need any files):
FilenameSizeDate
CRC_16\clock.v 2895 2017-05-13
CRC_16\clock_arwz.ucf 730 2017-05-13
CRC_16\CRC16.v 4217 2017-03-12
CRC_16\CRC_16.gise 18238 2017-06-27
CRC_16\CRC_16.xise 35731 2017-05-13
CRC_16\CRC_Check.v 1952 2017-05-13
CRC_16\Crc_test.cmd_log 200 2017-05-13
CRC_16\Crc_test.fdo 1323 2017-05-13
CRC_16\Crc_test.lso 6 2017-05-13
CRC_16\Crc_test.prj 82 2017-05-13
CRC_16\Crc_test.syr 4456 2017-05-13
CRC_16\Crc_test.udo 381 2017-03-17
CRC_16\Crc_test.v 1134 2017-03-17
CRC_16\Crc_test.xst 1149 2017-05-13
CRC_16\Crc_test_envsettings.html 8936 2017-05-13
CRC_16\Crc_test_summary.html 4429 2017-05-13
CRC_16\Crc_test_wave.fdo 426 2017-03-17
CRC_16\Crc_test_xst.xrpt 8282 2017-05-13
CRC_16\ipcore_dir\clock.v 2662 2017-05-13
CRC_16\ipcore_dir\clock.xaw 3272 2017-05-13
CRC_16\ipcore_dir\clock_arwz.ucf 731 2017-05-13
CRC_16\ipcore_dir\clock_flist.txt 53 2017-05-13
CRC_16\ipcore_dir\coregen.log 568 2017-05-13
CRC_16\ipcore_dir\create_clock.tcl 1252 2017-05-13
CRC_16\ipcore_dir\xaw2verilog.log 37 2017-05-13
CRC_16\ipcore_dir\_xmsgs\cg.xmsgs 911 2017-05-13
CRC_16\iseconfig\CRC_16.projectmgr 7363 2017-06-27
CRC_16\iseconfig\Crc_test.xreport 20556 2017-05-13
CRC_16\iseconfig\Top_main.xreport 20548 2018-01-13
CRC_16\netgen\synthesis\Top_main_synthesis.nlf 753 2017-05-13
CRC_16\netgen\synthesis\Top_main_synthesis.v 2752 2017-05-13
CRC_16\test.fdo 1303 2017-05-13
CRC_16\test.udo 377 2017-05-13
CRC_16\test.v 1124 2017-05-13
CRC_16\test_wave.fdo 422 2017-05-13
CRC_16\top_main.bgn 6310 2017-05-13
CRC_16\top_main.bit 594508 2017-05-13
CRC_16\Top_main.bld 1006 2017-05-13
CRC_16\Top_main.cmd_log 2165 2017-05-13
CRC_16\top_main.drc 413 2017-05-13
CRC_16\Top_main.fdo 1303 2017-05-13
CRC_16\Top_main.lso 6 2017-05-13
CRC_16\Top_main.ncd 22630 2017-05-13
CRC_16\Top_main.ngc 28844 2017-05-13
CRC_16\Top_main.ngd 43150 2017-05-13
CRC_16\Top_main.ngr 16338 2017-05-13
CRC_16\Top_main.pad 27145 2017-05-13
CRC_16\Top_main.par 8038 2017-05-13
CRC_16\Top_main.pcf 383 2017-05-13
CRC_16\Top_main.prj 55 2017-05-13
CRC_16\Top_main.ptwx 17228 2017-05-13
CRC_16\Top_main.stx 0 2017-05-13
CRC_16\Top_main.syr 26126 2017-05-13
CRC_16\Top_main.twr 2954 2017-05-13
CRC_16\Top_main.twx 19379 2017-05-13
CRC_16\Top_main.udo 381 2017-05-13
CRC_16\Top_main.unroutes 301 2017-05-13
CRC_16\Top_main.ut 502 2017-05-13
CRC_16\Top_main.v 5707 2017-05-13
CRC_16\Top_main.xpi 46 2017-05-13
CRC_16\Top_main.xst 1149 2017-05-13
CRC_16\Top_main_bitgen.xwbt 223 2017-05-13
CRC_16\Top_main_envsettings.html 13122 2018-01-13
CRC_16\Top_main_guide.ncd 22630 2017-05-13
CRC_16\Top_main_map.map 2781 2017-05-13
CRC_16\Top_main_map.mrp 7053 2017-05-13
CRC_16\Top_main_map.ncd 15197 2017-05-13
CRC_16\Top_main_map.ngm 77056 2017-05-13
CRC_16\Top_main_map.xrpt 8827 2017-05-13
CRC_16\Top_main_ngdbuild.xrpt 7222 2017-05-13
CRC_16\Top_main_pad.csv 27177 2017-05-13
CRC_16\Top_main_pad.txt 129599 2017-05-13
CRC_16\Top_main_par.xrpt 289436 2017-05-13
CRC_16\Top_main_summary.html 9561 2018-01-13
CRC_16\Top_main_summary.xml 408 2017-05-13
CRC_16\Top_main_usage.xml 16716 2017-05-13
CRC_16\Top_main_wave.fdo 426 2017-05-13
CRC_16\Top_main_xst.xrpt 13542 2017-05-13
CRC_16\transcript 449 2018-01-13
CRC_16\ucf.ucf 294 2017-05-13
CRC_16\usage_statistics_webtalk.html 47690 2017-05-13
CRC_16\vsim.wlf 5603328 2017-03-17
CRC_16\webtalk.log 721 2017-05-13
CRC_16\webtalk_pn.xml 3254 2017-05-13
CRC_16\wlft3r4sta 65536 2017-03-18
CRC_16\wlft6rs98e 770048 2017-05-13
CRC_16\wlftjfbq4w 73728 2017-04-02
CRC_16\wlftk4r800 4538368 2017-03-18
CRC_16\wlftmq61ba 65536 2017-05-13
CRC_16\work\@c@r@c_@check\_primary.dat 1262 2017-05-13
CRC_16\work\@c@r@c_@check\_primary.dbs 1286 2017-05-13
CRC_16\work\@c@r@c_@check\_primary.vhd 391 2017-05-13
CRC_16\work\@crc_test\_primary.dat 489 2017-05-13
CRC_16\work\@crc_test\_primary.dbs 664 2017-05-13
CRC_16\work\@crc_test\_primary.vhd 246 2017-05-13
CRC_16\work\@top_main\_primary.dat 3641 2017-05-13
CRC_16\work\@top_main\_primary.dbs 3423 2017-05-13
CRC_16\work\@top_main\_primary.vhd 221 2017-05-13
CRC_16\work\@_opt\vopt2g83yd 351 2017-03-17
CRC_16\work\@_opt\vopt2s83yd 649 2017-03-17

CodeBus www.codebus.net