Welcome![Sign In][Sign Up]
Location:
Search - nand VHDL flash

Search list

[Other resourcevhdl-core-Kopie_von_mem32_vhd

Description: vhdl 模块对nand flash控制,实现了FPGA对NAND FLASH直接读写控制。
Platform: | Size: 4173 | Author: 骑士 | Hits:

[Embeded LinuxNAND FLASH VHDL

Description: nand flash的VHDL编程设计
Platform: | Size: 1587101 | Author: mxl2003305730@sina.com | Hits:

[OtherNAND_Controller_and_ECC_VHDL

Description: NAND Flash Controller & ECC VHDL Code-NAND Flash Controller
Platform: | Size: 22528 | Author: | Hits:

[Embeded-SCM Developxapp354_vhdl

Description: 用CPLD实现NAND FLASH接口的VHDL源码-Using CPLD realize NAND FLASH interface VHDL source code
Platform: | Size: 871424 | Author: xillinx | Hits:

[VHDL-FPGA-Verilogvhdl-core-Kopie_von_mem32_vhd

Description: vhdl 模块对nand flash控制,实现了FPGA对NAND FLASH直接读写控制。-VHDL module nand flash control, the FPGA to realize the direct read and write control NAND FLASH.
Platform: | Size: 4096 | Author: 骑士 | Hits:

[VHDL-FPGA-Veriloghdl

Description: 用Verilog语言编写的实现NAND Flash块的控制存取以及同步的FIFO的控制-Using Verilog languages realize NAND Flash block to control access as well as the synchronization FIFO control
Platform: | Size: 6144 | Author: 刘义春 | Hits:

[ARM-PowerPC-ColdFire-MIPSFLASHcontrollercode

Description: NAND FLASH控制器源代码(测试通过)-NAND FLASH controller source code (the test)
Platform: | Size: 2048 | Author: 王一 | Hits:

[ARM-PowerPC-ColdFire-MIPSnand_flash_ctl

Description: nand flash control logic
Platform: | Size: 4096 | Author: yinxuebing | Hits:

[VHDL-FPGA-VerilogECCgenAndLoc

Description: 基于xilinx ISE环境开发的VHDL的NAND flash ECC 实现,eccGen256Byte 文件夹为ECC 产生程序,EccErrLoc文件夹为ECC错误定位程序。-Xilinx ISE environment based on the development of VHDL the NAND flash ECC to achieve, eccGen256Byte folder produced for the ECC procedures, EccErrLoc folder location for the ECC error procedures.
Platform: | Size: 1504256 | Author: 卓智海 | Hits:

[VHDL-FPGA-VerilogNANDflash

Description: NAND型闪存接口程序 里面包含了datasheet以及测试程序 -NAND flash memory interface program
Platform: | Size: 846848 | Author: jiangyuhang | Hits:

[Driver Develop123456

Description: NAND型Flash在大容量存储回放系统中的应用-Flash
Platform: | Size: 53248 | Author: zhongjian | Hits:

[ARM-PowerPC-ColdFire-MIPSflash_operator

Description: 本代码为控制三星公司nand flash,型号k9f5608,实现了读写和擦除操作,方便调用-The code for the control of Samsung nand flash, model k9f5608, achieved a read-write and erase operations to facilitate the call
Platform: | Size: 1396736 | Author: kaishi | Hits:

[VHDL-FPGA-VerilogMT29FxxG08xx

Description: MT的NAND FLASH MT29FxxG08xx系列的Verilog仿真模型,包含详细说明,试验证明,非常准确。-MT of the NAND FLASH MT29FxxG08xx series of Verilog simulation model, contains a detailed description, testing proved very accurate.
Platform: | Size: 92160 | Author: wuyihua | Hits:

[ARM-PowerPC-ColdFire-MIPSNANDflash_NORflash

Description: 介绍和描述Nand Flash, Nor Flash的物理结构和对比,以及使用的注意事项-Introduction and description of Nand Flash, Nor Flash and contrast the physical structure and the use of Attention
Platform: | Size: 323584 | Author: qing | Hits:

[OtherEccalgo

Description: Samsung NAND flash ECC说明-Samsung NAND flash ECC algorithm introduction
Platform: | Size: 71680 | Author: Jack Tsai | Hits:

[VHDL-FPGA-VerilogFlash

Description: 三星flash编程Verilog程序,单页编程,支持K9K4G08芯片-Samsung' s flash programming Verilog program, single-page programming, support K9K4G08 chip
Platform: | Size: 1024 | Author: 不知道 | Hits:

[Software EngineeringOneNAND_in_embed_sys

Description: OneNAND闪存在嵌入式系统中的应用 OneNAND Flash是三星公司开发的一类Flash芯片,它克服了传统NAND Flash接口复杂的缺点,具有接口简单、读写速度快、容量大、寿命长、成本低等优点。文章从软硬件两方面介绍了其在嵌入式系统中的应用,特别是逻辑块和物理块地址的映射、读写擦操作、坏块处理、性能优化等技术。-OneNAND flash memory in embedded system applications developed by Samsung' s OneNAND Flash is a type Flash chips, which overcomes the traditional shortcomings of NAND Flash interface, a complex with a simple interface, read and write speed, large capacity and long life and low cost advantages. The article describes both hardware and software in embedded system applications, in particular logical block and physical block address mapping, read and write wiping operation, bad block handling, performance optimization technologies.
Platform: | Size: 48128 | Author: zhangdong | Hits:

[Multimedia programl52a_nand_model

Description: 美光64GB nand flash 模型 verilog-micron 64GB nand flash verilog module
Platform: | Size: 64512 | Author: cancan | Hits:

[VHDL-FPGA-VerilogFPGA_NAND_FLASH

Description: 基于FPGA的NAND FLASH控制器-FPGA-based NAND FLASH controllers
Platform: | Size: 93184 | Author: jiang | Hits:

[VHDL-FPGA-Verilogxapp944_source

Description: 主要用来实现FPGA控制nand flash存储器的读写控制,是公司网站提供-FPGA is mainly used to achieve control of read and write nand flash memory control, is the company website
Platform: | Size: 1140736 | Author: lijin | Hits:
« 12 3 »

CodeBus www.codebus.net