Welcome![Sign In][Sign Up]
Location:
Search - uart using verilog

Search list

[Com Portuart

Description: this a Uart source code using Verilog.
Platform: | Size: 10294 | Author: Daniel Zhang | Hits:

[VHDL-FPGA-Veriloguart_verilog

Description: uart串行口,用Verilog编写的.供大家参考-uart serial port, using Verilog prepared. For your reference
Platform: | Size: 10240 | Author: lfy | Hits:

[Other Embeded programuart

Description: 实现简单的UART功能,在QUARTUS4.0下编译通过,采用VERILOG HDL编写.-Simple UART functions in the compiler under QUARTUS4.0 through using VERILOG HDL preparation.
Platform: | Size: 1024 | Author: 不是 | Hits:

[VHDL-FPGA-Veriloguart

Description: 用Verilog实现的串口异步通信,适用于RS232-Using Verilog realization of serial asynchronous communication, applied to RS232
Platform: | Size: 1126400 | Author: 王权 | Hits:

[VHDL-FPGA-Veriloguart

Description:
Platform: | Size: 14336 | Author: 顾向南 | Hits:

[Com Portuart

Description: this a Uart source code using Verilog.
Platform: | Size: 10240 | Author: Daniel Zhang | Hits:

[VHDL-FPGA-VerilogUART

Description: 用FPGA实现了RS232异步串行通信,所用语言是VHDL,另外本人还有Verilog的欢迎交流学习,根据RS232 异步串行通信来的帧格式,在FPGA发送模块中采用的每一帧格式为:1位开始位+8位数据位+1位奇校验位+1位停止位,波特率为2400。由设置的波特率可以算出分频系数,具体算法为分频系数X=CLK/(BOUND*2)。-Using FPGA to achieve the RS232 asynchronous serial communication, the language used is VHDL, In addition, I also welcome the exchange of learning Verilog, according to RS232 asynchronous serial communication to the frame format, in the FPGA module used to send each frame format : the beginning of a bit+ 8-bit data bit+ 1 bit odd parity bit+ 1 bit stop bit, baud rate for 2400. By setting the baud rate can be calculated at the frequency coefficient, the specific algorithm for the sub-frequency coefficient X = CLK/(BOUND* 2).
Platform: | Size: 1024 | Author: saibei007 | Hits:

[VHDL-FPGA-Veriloguart(Verilog)

Description: uart异步串口通信协议的源代码,用vhdl语言编写,并且有完整得测试文件-UART asynchronous serial communication protocol source code, using VHDL language, and may have a complete test file
Platform: | Size: 10240 | Author: 阿军 | Hits:

[Com PortUART

Description: 带有自适应功能的UART,是用VERILOG编写的源码,包括测试文件,与大家分享-Adaptive function with UART, are prepared using VERILOG source code, including test papers, to share with you
Platform: | Size: 4096 | Author: wangdali | Hits:

[VHDL-FPGA-Veriloguart

Description: uart using verilog hdl
Platform: | Size: 12288 | Author: imran ahmed | Hits:

[Com Portuart

Description: 采用verilog语言描述的uart串口驱动程序主要用于调试-Using verilog language to describe the uart serial port driver is mainly used for debugging
Platform: | Size: 407552 | Author: lynn | Hits:

[VHDL-FPGA-VerilogUART

Description: A sample that describe how to make wiring between modules using verilog ,it contain two stages of inverter of SW1 as input and LD7 as output
Platform: | Size: 765952 | Author: xzorox | Hits:

[VHDL-FPGA-VerilogUART

Description: 使用Quartus ii软件,编程语言为Verilog语言,实现UART通信协议,FPGA的时钟信号为50MHz-Using the Quartus ii software, programming languages Verilog, UART communication protocol implementation, FPGA 50MHz clock signal
Platform: | Size: 1435648 | Author: 冰色火焰 | Hits:

[VHDL-FPGA-VerilogUART

Description: uart接口,使用Verilog编写,适用于各类FPGA-uart interface written using Verilog, applicable to all FPGA
Platform: | Size: 3072 | Author: 潘映波 | Hits:

[VHDL-FPGA-VerilogUART

Description: Verilog编写的UART模块,波特率19200,系统时钟100MHz,x3s50an应用成功-UART module using Verilog
Platform: | Size: 1024 | Author: xhly | Hits:

[VHDL-FPGA-VerilogFPGA_UART

Description: 用Verilog语言实现的FPGA UART独立收发模块 思路简单,代码简洁。在Lattice LFE3EA VERSA开发板上验证通过,编译器Lattice Diamond. 功能:串口收到数据后立即回传,此后每一秒串口数据+1再发送。-Using Verilog language independent of FPGA UART transceiver idea is simple, concise code. Development board in Lattice LFE3EA VERSA verified by the compiler Lattice Diamond. Features: Serial data is received immediately after the return, then every second serial port and then send the data+ 1.
Platform: | Size: 3072 | Author: 朱强光 | Hits:

[VHDL-FPGA-Verilogverilog-UART-Controler

Description: 使用verilog语言实现的UART控制器,包含发送和接收部分,波特率可调。-Using the UART controller verilog language, including sending and receiving part, the baud rate is adjustable.
Platform: | Size: 114688 | Author: 张秋光 | Hits:

[VHDL-FPGA-Veriloguart-in-verilog

Description: develop uart using verilog language-develop uart using verilog language...
Platform: | Size: 22528 | Author: Patel Dhaval P. | Hits:

[VHDL-FPGA-Veriloguart

Description: 嵌入式串口通讯,采用verilog编写,在altera开发板上运行(Embedded serial communication, written using Verilog, altera development board on the run)
Platform: | Size: 2843648 | Author: 歪歪mao | Hits:

[Embeded-SCM DevelopUART

Description: 使用verilog实现串口通信功能,modesim仿真成功(Using Verilog to achieve serial communication function, modesim simulation success)
Platform: | Size: 2048 | Author: 农村小伙 | Hits:
« 12 3 »

CodeBus www.codebus.net