Welcome![Sign In][Sign Up]
Location:
Search - QUA

Search list

[Other resourceAddisonWesleyEffectiveSoftwareTesting50SpecificWay

Description: In most software-development organizations, the testing program functions as the final \"quality gate\" for an application, allowing or preventing the move from the comfort of the software-engineering environment into the real world. With this role comes a large responsibility: The success of an application, and possibly of theorganization, can rest on the quality of the software product.-In most software-development organizati ons. the testing program functions as the final "qua lity gate "for an application. allowing or preventing the move from the comfor t of the software-engineering environment int o the real world. With this role comes a large res ponsibility : The success of an application. and possibly of theorganization. can rest on the quality of the software product.
Platform: | Size: 704669 | Author: 顾雷 | Hits:

[Other resourceLookupAPI

Description: This program uses the database created by MakeAPIDB. It opens a connection * to a database using the same property file used by MakeAPIDB. Then it * queries that database in several interesting ways to obtain useful * information about Java APIs. It can be used to look up the fully-qualified * name of a member, class, or package, or it can be used to list the members * of a class or package.-This program uses the database created by M akeAPIDB. It opens a connection to a database * u sing the same property file used by MakeAPIDB. T hen it * that database queries in several intere sting ways to obtain useful information about * Java APIs. It can be used to look up the fully - qua lified * name of a member, class, or package, or it can be used to list the members * of a class or package.
Platform: | Size: 3196 | Author: 李霞 | Hits:

[Other resourceDesigning_with_Quartus

Description: 1)Learn more about the capabilities in Quartus: 2)Learn to use different design entry techniques 2)Design entry methods available within Quartus Text editor,Block diagram/schematic file editor, Quartus interface with design entry/synthesis tools from Exemplar, Synopsys, Synplicity and Viewlogic -1) Learn more about the capabilities in Qua rtus : 2) Learn to use different design entry techniqu es 2) Design entry methods available within Qua rtus Text editor, Block diagram / schematic file editor, Quartus interface with design entry / synthesi s tools from Exemplar, Synopsys. Synplicity and Viewlogic
Platform: | Size: 2713987 | Author: Jawen | Hits:

[Other resourceagg-2.4

Description: The Anti-Grain Geometry Project A high quality rendering engine for C++ http://antigrain.com-The Anti-Grain Geometry Project A high qua - lity rendering engine for C http : / / antigrain.com
Platform: | Size: 933076 | Author: mastercn | Hits:

[Compress-Decompress algrithmsQccPack-0.54-1.tar

Description: QccPack-0.54-1 released (2007-04-30) is being developed and tested on Fedora Core Linux. QccPack provides an open-source collection of library routines and utility programs for quantization, compression, and coding of data. -QccPack - 0.54-1 released (2007-04-30) is being developed and tested on Fedora Core Linux . QccPack provides an open-source collection o f library routines and utility programs for qua ntization, compression, and coding of data.
Platform: | Size: 2279693 | Author: jason.. | Hits:

[Documents自动售货机VHDL程序与仿真

Description: library ieee; use ieee.std_logic_arith.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity PL_auto1 is port ( clk:in std_logic; --系统时钟 set,get,sel,finish: in std_logic; --设定、买、选择、完成信号 coin0,coin1: in std_logic; --5角硬币、1元硬币 price,quantity :in std_logic_vector(3 downto 0); --价格、数量数据 item0 , act:out std_logic_vector(3 downto 0); --显示、开关信号 y0,y1 :out std_logic_vector(6 downto 0); --钱数、商品数量显示数据 act10,act5 :out std_logic); --1元硬币、5角硬币 end PL_auto1; architecture behav of PL_auto1 is type ram_type is array(3 downto 0)of std_logic_vector(7 downto 0); signal ram :ram_type; --定义RAM signal item: std_logic_vector(1 downto 0); --商品种类 signal coin: std_logic_vector(3 downto 0); --币数计数器 signal pri,qua:std_logic_vector(3 downto 0); --商品单价、数量 signal clk1: std_logic; --控制系统的时钟信号 begin .。。。。。。。。。。。。。
Platform: | Size: 204288 | Author: niuyuanlai@163.com | Hits:

[Software EngineeringAddisonWesleyEffectiveSoftwareTesting50SpecificWay

Description: In most software-development organizations, the testing program functions as the final "quality gate" for an application, allowing or preventing the move from the comfort of the software-engineering environment into the real world. With this role comes a large responsibility: The success of an application, and possibly of theorganization, can rest on the quality of the software product.-In most software-development organizati ons. the testing program functions as the final "qua lity gate "for an application. allowing or preventing the move from the comfor t of the software-engineering environment int o the real world. With this role comes a large res ponsibility : The success of an application. and possibly of theorganization. can rest on the quality of the software product.
Platform: | Size: 704512 | Author: 顾雷 | Hits:

[SQL ServerLookupAPI

Description: This program uses the database created by MakeAPIDB. It opens a connection * to a database using the same property file used by MakeAPIDB. Then it * queries that database in several interesting ways to obtain useful * information about Java APIs. It can be used to look up the fully-qualified * name of a member, class, or package, or it can be used to list the members * of a class or package.-This program uses the database created by M akeAPIDB. It opens a connection to a database* u sing the same property file used by MakeAPIDB. T hen it* that database queries in several intere sting ways to obtain useful information about* Java APIs. It can be used to look up the fully- qua lified* name of a member, class, or package, or it can be used to list the members* of a class or package.
Platform: | Size: 3072 | Author: 李霞 | Hits:

[OtherDesigning_with_Quartus

Description: 1)Learn more about the capabilities in Quartus: 2)Learn to use different design entry techniques 2)Design entry methods available within Quartus Text editor,Block diagram/schematic file editor, Quartus interface with design entry/synthesis tools from Exemplar, Synopsys, Synplicity and Viewlogic -1) Learn more about the capabilities in Qua rtus : 2) Learn to use different design entry techniqu es 2) Design entry methods available within Qua rtus Text editor, Block diagram/schematic file editor, Quartus interface with design entry/synthesi s tools from Exemplar, Synopsys. Synplicity and Viewlogic
Platform: | Size: 2713600 | Author: Jawen | Hits:

[2D Graphicagg-2.4

Description: The Anti-Grain Geometry Project A high quality rendering engine for C++ http://antigrain.com-The Anti-Grain Geometry Project A high qua- lity rendering engine for C http :// antigrain.com
Platform: | Size: 932864 | Author: mastercn | Hits:

[Compress-Decompress algrithmsQccPack-0.54-1.tar

Description: QccPack-0.54-1 released (2007-04-30) is being developed and tested on Fedora Core Linux. QccPack provides an open-source collection of library routines and utility programs for quantization, compression, and coding of data. -QccPack- 0.54-1 released (2007-04-30) is being developed and tested on Fedora Core Linux . QccPack provides an open-source collection o f library routines and utility programs for qua ntization, compression, and coding of data.
Platform: | Size: 2279424 | Author: jason.. | Hits:

[source in ebookQUAD4

Description: 动力响应程序 全英文的,需要英语功底好的 -Dynamic response of the whole process in English, the need for good English skills
Platform: | Size: 16384 | Author: luochengxi | Hits:

[MiddleWareCRC_Calculation_For_Modbus

Description: phuc tap qua cac ban a
Platform: | Size: 2048 | Author: PhamKien | Hits:

[TCP/IP stackscreenthief2

Description: Chup man hinh qua UDP
Platform: | Size: 16384 | Author: nguyenmanhtuyen | Hits:

[Internet-NetworkcgiUpload

Description: Upload file qua webserver
Platform: | Size: 150528 | Author: nguyenmanhtuyen | Hits:

[Documentszl4arm_libs

Description: day la tai lieu cho mach nap ARM qua cong may in
Platform: | Size: 22528 | Author: Hoa | Hits:

[SCMLED_Source

Description: source led giao tiep qua cong LPT
Platform: | Size: 17408 | Author: Truong Phuc | Hits:

[Software Engineeringdieu-khien-thiet-bi-bao-chay-bao-trom-qua-mang-di

Description: dieu khien thiet bi bao chay bao trom qua mang dien thoai
Platform: | Size: 2427904 | Author: TPMISSION | Hits:

[SQL ServerSQLSERVER-QUA-INTERNET

Description: SQLSERVER QUA INTERNET
Platform: | Size: 1195008 | Author: sogoku | Hits:

[assembly languageChuong-trinh-dieu-khien-led-qua-ethernet

Description: Dieu khien led qua ethernet
Platform: | Size: 44032 | Author: thuandanh | Hits:
« 12 3 4 »

CodeBus www.codebus.net