Welcome![Sign In][Sign Up]
Location:
Search - at90s2313

Search list

[Other resource050809 2313模拟usb下载线

Description: 使用AT90S2313制作下载线,模拟usb时序,不是真正的usb接口。-use downloaded AT90S2313 production line simulation usb timing, is not the real usb interface.
Platform: | Size: 1056619 | Author: 许海洋 | Hits:

[Other resource串口下载线

Description: 使用AT90S2313制作的串口AVR系列下载线,全套的制作资料-AT90S2313 produced by the use of serial download AVR Series line, a complete set of production data
Platform: | Size: 1364920 | Author: 许海洋 | Hits:

[Windows DevelopIgorPlug-USB(AVR)firmware

Description: usb remote avr at90s2313
Platform: | Size: 64048 | Author: yujenny | Hits:

[Other resourceCoolFishsource

Description: 酷鱼下载线源程序,可以在线烧写芯片有AT89LS51,AT89S51,AT89LS52,AT89S52. 2. AT90CAN128,AT90S1200,AT90S2313,AT90S2323,AT90LS2323,AT90S2333, AT90LS2333,AT90S2343,AT90LS2343,AT90S4414,AT90S4433,AT90LS4433, AT90S4434,AT90LS4434,AT90S8515,AT90S8535,AT90LS8535 3. ATMEGA103,ATMEGA103L, ATMEGA128, ATMEGA128L, ATMEGA16, ATMEGA16L,ATMEGA161,ATMEGA161L,ATMEGA162,ATMEGA162V, ATMEGA163,ATMEGA163L,ATMEGA168,ATMEGA169,ATMEGA169V, ATMEGA32 , ATMEGA32L, ATMEGA323 , ATMEGA323L, ATMEGA48 , ATMEGA64 , ATMEGA64L , ATMEGA8,ATMEAG8L , ATMEGA8515 , ATMEGA8515L,ATMEGA8535,ATMEGA8535L,ATMEGA88 4. ATTINY12,ATTINY12L,ATTINY13,ATTINY15L,ATTINY2313,ATTINY2313V, ATTINY26,ATTINY26L PIC 系列可ISP 单片机 5. PIC16F870,PIC16F871,PIC16F872,PIC16F873 ,PIC16F873A,PIC16F874, PIC16F874A,PIC16F876,PIC16F876A,PIC16F877,PIC16F877A-Kuyu downloaded line source, the online burning chips are AT89LS51, minicomputer, AT89LS52, AT89S52. 2. AT90CAN128, AT90S1200. AT90S2313, AT90S2323, AT90LS2323. AT90S2333, AT90LS2333, AT90S2343. AT90LS2343, AT90S4414, AT90S4433. AT90LS4433, AT90S4434, AT90LS4434. AT90S8515, AT90S8535. AT90LS8535 3. ATMEGA103, ATMEGA103L. ATMEGA128, ATMEGA128L, ATMEGA16, ATMEGA16L. ATMEGA161, ATMEGA161L, ATMEGA162. ATMEGA162V, ATMEGA163, ATMEGA163L. ATMEGA168, ATMEGA169, ATMEGA169V, ATMEGA32. ATMEGA32L, ATMEGA323, ATMEGA323L, ATMEGA48. ATMEGA64, ATMEGA64L, ATMEGA8 Single, ATMEAG8L. ATMEGA8515, ATMEGA8515L, ATMEGA8535. ATMEGA8535L, ATMEGA88 4. ATTINY12. ATTINY12L, ATTINY13, ATTINY15L, ATTINY2313. ATTINY2313V, ATTINY26. ATTINY26L PIC microcontroller ISP Series 5. PIC16F870. PIC16F871, PIC16F872, PIC16F873. PI
Platform: | Size: 498981 | Author: 沈昌逸 | Hits:

[Other resourcelv-mf-test

Description: 通过AT90S2313的边缘触发功能构成频率级完成金属探测功能-through AT90S2313 the edge trigger function constitute complete frequency-functional metal detector
Platform: | Size: 45080 | Author: duxieling | Hits:

[Other resourcemot-cont-si

Description: 通过AT90S2313控制可控硅实现对机电系统的控制-through AT90S2313 SCR control system to achieve the right electromechanical control
Platform: | Size: 10584 | Author: duxieling | Hits:

[Other resourceat90s2313-usb

Description: 用at90s2313做的usb转串口方案-at90s2313 do with the usb serial transfer program
Platform: | Size: 21886 | Author: 毛天 | Hits:

[WEB CodeAT90S2313-ATtiny2131

Description: AT90S2313移植到ATtiny2131方法-AT90S2313 ATtiny2131 transplant method
Platform: | Size: 1168 | Author: 罗格 | Hits:

[SMSsms_controller

Description: SMS for T28 or T10 by Atmel AT90S2313 Circuit and source code in C.
Platform: | Size: 29696 | Author: 会中 | Hits:

[SCM串口下载线

Description: 使用AT90S2313制作的串口AVR系列下载线,全套的制作资料-AT90S2313 produced by the use of serial download AVR Series line, a complete set of production data
Platform: | Size: 1364992 | Author: 许海洋 | Hits:

[SCMat90s2313-usb

Description: 用at90s2313做的usb转串口方案-at90s2313 do with the usb serial transfer program
Platform: | Size: 21504 | Author: 毛天 | Hits:

[DocumentsAT90S2313-ATtiny2131

Description: AT90S2313移植到ATtiny2131方法-AT90S2313 ATtiny2131 transplant method
Platform: | Size: 1024 | Author: 罗格 | Hits:

[Software EngineeringDrawings

Description: Digital Thermostat with High Accuracy -6,00 to 46,00 °C Digital Thermostat We build a digital thermostat for home applications, which controls the room temperature. I used the AT90S2313 microprocessor of ATMEL. The digital thermostat opens the main heating valve of the apartment and the hot water flows in the radiators. Digital Thermostat Board-Digital Thermostat with High Accuracy-6, 00 to 46,00 ° CDigital ThermostatWe build a digital thermostat for home applications, which controls the room temperature. Iused the AT90S2313 microprocessor of ATMEL. The digital thermostat opens the main heating valve ofthe apartment and the hot water flows in the radiators.Digital Thermostat Board
Platform: | Size: 146432 | Author: 钱伟 | Hits:

[SCMat90s2313

Description: at90s2313中文资料,70多页,我感觉讲的够详细了。有需要的朋友可以下载看看。-AT90S2313 Chinese information, more than 70 pages, I feel that s enough talk about the details. There is a need to look at the friends can be downloaded.
Platform: | Size: 1583104 | Author: 仙儿 | Hits:

[SCMusb

Description: USB 到 UART 协议转换程序 [简易的 - 少量的FIFO] 目标 MCU :AT90S2313-10-USB to UART protocol conversion process [simple- a small number of FIFO] target MCU: AT90S2313-10
Platform: | Size: 17408 | Author: tong | Hits:

[Embeded-SCM DevelopTESTEuart

Description: This applications demonstrates the use of UART comunication using ATMEL AT90S2313. Includes Studio 4 project.
Platform: | Size: 1024 | Author: lpinheiro | Hits:

[SCMat90s2313

Description: ATMEL单片机的规格书,AT90S2313.pdf,需要的朋友可以下载来-ATMEL microcontroller specifications, AT90S2313.pdf, need to take a look at a friend can be downloaded
Platform: | Size: 542720 | Author: sunddy | Hits:

[SCMAT90S2313

Description: at90s2313的一个uart测试程序-at90s2313 for uart by asm
Platform: | Size: 1462272 | Author: Nicolas | Hits:

[ARM-PowerPC-ColdFire-MIPSAT90S2313

Description: 老款AVR单片机AT90S2313中文资料,同样是开发单片机人员的好的参考资料,仅供学习-AVR microcontroller AT90S2313 older Chinese data, the same staff to develop a good reference MCU, only to learn
Platform: | Size: 1537024 | Author: 王涛 | Hits:

[assembly languageAT90S2313-Push-Switch-Led-Blinking

Description: AT90S2313 Push Switch Led Blinking
Platform: | Size: 24576 | Author: vimal | Hits:
« 12 3 »

CodeBus www.codebus.net