Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: sun1602 Download
 Description: Vhdl LCD1602 for ACTEL
 Downloaders recently: [More information of uploader binbinsun003]
 To Search: actel
File list (Check if you may need any files):
sun1602
.......\component
.......\constraint
.......\coreconsole
.......\designer
.......\........\impl1
.......\........\.....\clk_gen.tcl
.......\........\.....\designer.log
.......\........\.....\designer_genhdl.log
.......\........\.....\designer_gen_ba.log
.......\........\.....\lcd1602_top.adb
.......\........\.....\lcd1602_top.dtf
.......\........\.....\...............\verify.log
.......\........\.....\lcd1602_top.ide_des
.......\........\.....\lcd1602_top.pdb
.......\........\.....\lcd1602_top.pdb.depends
.......\........\.....\lcd1602_top.tcl
.......\........\.....\lcd1602_top_ba.sdf
.......\........\.....\lcd1602_top_ba.vhd
.......\........\.....\lcd1602_top_fp
.......\........\.....\..............\$$FlashPro_FPBBALTLPT1.L$$
.......\........\.....\..............\lcd1602_top.log
.......\........\.....\..............\lcd1602_top.pro
.......\........\.....\..............\projectData
.......\........\.....\..............\...........\lcd1602_top.pdb
.......\........\.....\lcd_driver.tcl
.......\........\.....\pll_1M.tcl
.......\........\.....\simulation
.......\........\.....\..........\postlayout
.......\........\.....\..........\..........\lcd1602_top
.......\........\.....\..........\..........\...........\def_arch.dat
.......\........\.....\..........\..........\...........\def_arch.dbs
.......\........\.....\..........\..........\...........\def_arch.psm
.......\........\.....\..........\..........\...........\_primary.dat
.......\........\.....\..........\..........\...........\_primary.dbs
.......\........\.....\..........\..........\stimulus
.......\........\.....\..........\..........\........\stimulator.dat
.......\........\.....\..........\..........\........\stimulator.dbs
.......\........\.....\..........\..........\........\stimulator.psm
.......\........\.....\..........\..........\........\_primary.dat
.......\........\.....\..........\..........\........\_primary.dbs
.......\........\.....\..........\..........\testbench
.......\........\.....\..........\..........\.........\tbgeneratedcode.dat
.......\........\.....\..........\..........\.........\tbgeneratedcode.dbs
.......\........\.....\..........\..........\.........\tbgeneratedcode.psm
.......\........\.....\..........\..........\.........\_primary.dat
.......\........\.....\..........\..........\.........\_primary.dbs
.......\........\.....\..........\..........\_info
.......\........\.....\..........\..........\_temp
.......\hdl
.......\...\clk_gen.vhd
.......\...\lcd1602_top.vhd
.......\...\lcd_driver.vhd
.......\phy_synthesis
.......\simulation
.......\..........\modelsim.ini
.......\..........\modelsim.ini.sav
.......\..........\modelsim.log
.......\..........\postsynth
.......\..........\.........\clk_gen
.......\..........\.........\.......\def_arch.dat
.......\..........\.........\.......\def_arch.dbs
.......\..........\.........\.......\def_arch.psm
.......\..........\.........\.......\_primary.dat
.......\..........\.........\.......\_primary.dbs
.......\..........\.........\lcd1602_top
.......\..........\.........\...........\def_arch.dat
.......\..........\.........\...........\def_arch.dbs
.......\..........\.........\...........\def_arch.psm
.......\..........\.........\...........\_primary.dat
.......\..........\.........\...........\_primary.dbs
.......\..........\.........\lcd_driver
.......\..........\.........\..........\def_arch.dat
.......\..........\.........\..........\def_arch.dbs
.......\..........\.........\..........\def_arch.psm
.......\..........\.........\..........\_primary.dat
.......\..........\.........\..........\_primary.dbs
.......\..........\.........\pll_1m
.......\..........\.........\......\def_arch.dat
.......\..........\.........\......\def_arch.dbs
.......\..........\.........\......\def_arch.psm
.......\..........\.........\......\_primary.dat
.......\..........\.........\......\_primary.dbs
.......\..........\.........\stimulus
.......\..........\.........\........\stimulator.dat
.......\..........\.........\........\stimulator.dbs
.......\..........\.........\........\stimulator.psm
.......\......

CodeBus www.codebus.net