Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop Embeded Linux
Title: code_detector5 Download
 Description: Infrared wireless signal transmission based on the order of transmission of NEC code. Client code, information code information code and reverse
 Downloaders recently: [More information of uploader wangyongee]
 To Search:
File list (Check if you may need any files):
code_detector5\sim\rtl_sim\run\INCA_libs\.ncv.lock
..............\...\.......\...\.........\hdl.var
..............\...\.......\...\.........\snap.nc\.incl.file
..............\...\.......\...\.........\.......\svlan.args
..............\...\.......\...\.........\.......\ncvlog.env
..............\...\.......\...\.........\.......\cdsrun.lib
..............\...\.......\...\.........\.......\ncelab.hrd
..............\...\.......\...\.........\.......\.ncv.lock
..............\...\.......\...\.........\.......\files.ts
..............\...\.......\...\.........\.......\hdlrun.var
..............\...\.......\...\.........\.......\hdl.var
..............\...\.......\...\.........\.......\ncelab.env
..............\...\.......\...\.........\.......\ncsim.args
..............\...\.......\...\.........\.......\ixcom.args
..............\...\.......\...\.........\.......\ixcom.env
..............\...\.......\...\.........\.......\vhan.args
..............\...\.......\...\.........\.......\ncsim_restart.args
..............\...\.......\...\.........\.......\ncsim.env
..............\...\.......\...\.........\.......\cds.lib
..............\...\.......\...\.........\.......\ncelab.args
..............\...\.......\...\.........\.......\.ydir.file
..............\...\.......\...\.........\.......\ncvlog.hrd
..............\...\.......\...\.........\.......\.ncrun.lock
..............\...\.......\...\.........\.......\ncvlog.files
..............\...\.......\...\.........\.......\ncsim_restart.env
..............\...\.......\...\.........\.......\ncvlog.args
..............\...\.......\...\.........\.......\svlan.env
..............\...\.......\...\.........\.......\bind.lst.lnx86
..............\...\.......\...\.........\.......\vhan.env
..............\...\.......\...\.........\.......\ncverilog.args
..............\...\.......\...\.........\worklib\inca.lnx86.173.pak
..............\...\.......\...\.........\.......\.inca.db.173.lnx86
..............\...\.......\...\.........\.......\cdsinfo.tag
..............\...\.......\...\.........\.......\.cdsvmod
..............\...\.......\...\.........\cds.lib
..............\...\.......\...\.........\irun.lnx86.08.20.nc\.incl.file
..............\...\.......\...\.........\...................\svlan.args
..............\...\.......\...\.........\...................\ncvlog.env
..............\...\.......\...\.........\...................\cdsrun.lib
..............\...\.......\...\.........\...................\ncelab.hrd
..............\...\.......\...\.........\...................\.ncv.lock
..............\...\.......\...\.........\...................\files.ts
..............\...\.......\...\.........\...................\hdlrun.var
..............\...\.......\...\.........\...................\hdl.var
..............\...\.......\...\.........\...................\ncelab.env
..............\...\.......\...\.........\...................\ncsim.args
..............\...\.......\...\.........\...................\ixcom.args
..............\...\.......\...\.........\...................\ixcom.env
..............\...\.......\...\.........\...................\vhan.args
..............\...\.......\...\.........\...................\ncsim_restart.args
..............\...\.......\...\.........\...................\ncsim.env
..............\...\.......\...\.........\...................\cds.lib
..............\...\.......\...\.........\...................\ncelab.args
..............\...\.......\...\.........\...................\.ydir.file
..............\...\.......\...\.........\...................\ncvlog.hrd
..............\...\.......\...\.........\...................\.ncrun.lock
..............\...\.......\...\.........\...................\ncvlog.files
..............\...\.......\...\.........\...................\ncsim_restart.env
..............\...\.......\...\.........\...................\ncvlog.args
..............\...\.......\...\.........\...................\svlan.env
..............\...\.......\...\.........\...................\bind.lst.lnx86
..............\...\.......\...\.........\...................\vhan.env
..............\...\.......\...\.........\.....

CodeBus www.codebus.net