Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: nco_dds_ep_1_003_1 Download
 Description: gives description about nco and dds
 Downloaders recently: [More information of uploader chalu3]
 To Search:
File list (Check if you may need any files):
nco_dds_ep_1_003\default.css
................\ecp
................\...\ver1
................\...\....\eval
................\...\....\....\readme.txt
................\...\....\....\simulation
................\...\....\....\..........\scripts
................\...\....\....\..........\.......\run_sim_eval.do
................\...\....\....\testbench
................\...\....\....\.........\define.v
................\...\....\....\.........\eval_nco_tb.v
................\...\....\....\tests
................\...\....\....\.....\stimuli.v
................\...\....\gui_script
................\...\....\..........\module_gen.zip
................\...\....\lib
................\...\....\...\modelsim
................\...\....\...\........\ip_work
................\...\....\...\........\.......\@a@n@d2
................\...\....\...\........\.......\.......\verilog.asm
................\...\....\...\........\.......\.......\_primary.dat
................\...\....\...\........\.......\.......\_primary.vhd
................\...\....\...\........\.......\@a@n@d3
................\...\....\...\........\.......\.......\verilog.asm
................\...\....\...\........\.......\.......\_primary.dat
................\...\....\...\........\.......\.......\_primary.vhd
................\...\....\...\........\.......\@d@p8@k@a
................\...\....\...\........\.......\.........\verilog.asm
................\...\....\...\........\.......\.........\_primary.dat
................\...\....\...\........\.......\.........\_primary.vhd
................\...\....\...\........\.......\@d@p@r16@x2@b
................\...\....\...\........\.......\.............\verilog.asm
................\...\....\...\........\.......\.............\_primary.dat
................\...\....\...\........\.......\.............\_primary.vhd
................\...\....\...\........\.......\@f@d1@p3@d@x
................\...\....\...\........\.......\............\verilog.asm
................\...\....\...\........\.......\............\_primary.dat
................\...\....\...\........\.......\............\_primary.vhd
................\...\....\...\........\.......\@g@s@r
................\...\....\...\........\.......\......\verilog.asm
................\...\....\...\........\.......\......\_primary.dat
................\...\....\...\........\.......\......\_primary.vhd
................\...\....\...\........\.......\@m@u@l@t18@x18@a@d@d@s@u@b
................\...\....\...\........\.......\..........................\verilog.asm
................\...\....\...\........\.......\..........................\_primary.dat
................\...\....\...\........\.......\..........................\_primary.vhd
................\...\....\...\........\.......\@p@d@p8@k@a
................\...\....\...\........\.......\...........\verilog.asm
................\...\....\...\........\.......\...........\_primary.dat
................\...\....\...\........\.......\...........\_primary.vhd
................\...\....\...\........\.......\@s@c_@b@r@a@m
................\...\....\...\........\.......\.............\verilog.asm
................\...\....\...\........\.......\.............\_primary.dat
................\...\....\...\........\.......\.............\_primary.vhd
................\...\....\...\........\.......\@s@c_@d@p@r@a@m
................\...\....\...\........\.......\...............\verilog.asm
................\...\....\...\........\.......\...............\_primary.dat
................\...\....\...\........\.......\...............\_primary.vhd
................\...\....\...\........\.......\@s@c_@p@d@p@r@a@m
................\...\....\...\........\.......\.................\verilog.asm
................\...\....\...\........\.......\.................\_primary.dat
................\...\....\...\........\.......\.................\_primary.vhd
................\...\....\...\........\.......\@u@d@f@d@l5_@u@d@p_@x
................\...\....\...\........\.......\.....................\verilog.asm
................\...\....\...\........\.......\.....................\_primary.dat
................\...\....\...\........\.......\.

CodeBus www.codebus.net