Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: clock Download
 Description: With a PS2 keyboard to enter numbers, seven-segment LED display time, time, and ran second, you can set the time, date and alarm time, buzzer sound by
 Downloaders recently: [More information of uploader hashang001]
 To Search:
File list (Check if you may need any files):
my_data\.sopc_builder\filters.xml
.......\.............\install.ptf
.......\.............\install2.ptf
.......\.............\preferences.xml
.......\button_pio.vhd
.......\COUNT.bsf
.......\COUNT.vhd
.......\counter.bsf
.......\counter.vhd
.......\counter.vhd.bak
.......\cpu.ocp
.......\cpu.sdc
.......\cpu.vhd
.......\cpu_bht_ram.mif
.......\cpu_dc_tag_ram.mif
.......\cpu_ic_tag_ram.mif
.......\cpu_jtag_debug_module_sysclk.vhd
.......\cpu_jtag_debug_module_tck.vhd
.......\cpu_jtag_debug_module_wrapper.vhd
.......\cpu_mult_cell.vhd
.......\cpu_ociram_default_contents.mif
.......\cpu_oci_test_bench.vhd
.......\cpu_rf_ram_a.mif
.......\cpu_rf_ram_b.mif
.......\cpu_test_bench.vhd
.......\db\altpll_8hv1.tdf
.......\..\altpll_rfv1.tdf
.......\..\altsyncram_1201.tdf
.......\..\altsyncram_26f1.tdf
.......\..\altsyncram_2of1.tdf
.......\..\altsyncram_36f1.tdf
.......\..\altsyncram_3id1.tdf
.......\..\altsyncram_6472.tdf
.......\..\altsyncram_94g1.tdf
.......\..\altsyncram_9bv.tdf
.......\..\altsyncram_b4g1.tdf
.......\..\altsyncram_ba31.tdf
.......\..\altsyncram_bcf1.tdf
.......\..\altsyncram_cqf1.tdf
.......\..\altsyncram_dqf1.tdf
.......\..\altsyncram_fqv.tdf
.......\..\altsyncram_glv.tdf
.......\..\altsyncram_i0m1.tdf
.......\..\altsyncram_i2d1.tdf
.......\..\altsyncram_n802.tdf
.......\..\altsyncram_rov.tdf
.......\..\altsyncram_uc01.tdf
.......\..\altsyncram_ucf1.tdf
.......\..\altsyncram_ulb1.tdf
.......\..\a_dpfifo_h031.tdf
.......\..\a_fefifo_7cf.tdf
.......\..\cntr_4n7.tdf
.......\..\cntr_omb.tdf
.......\..\ded_mult_br81.tdf
.......\..\dffpipe_93c.tdf
.......\..\dpram_ek21.tdf
.......\..\lwq4723_sdram_pll_altpll.v
.......\..\mult_add_dfr2.tdf
.......\..\mult_add_ffr2.tdf
.......\..\Nios2_LB0.asm.qmsg
.......\..\Nios2_LB0.asm_labs.ddb
.......\..\Nios2_LB0.cbx.xml
.......\..\Nios2_LB0.cmp.bpm
.......\..\Nios2_LB0.cmp.cdb
.......\..\Nios2_LB0.cmp.ecobp
.......\..\Nios2_LB0.cmp.hdb
.......\..\Nios2_LB0.cmp.kpt
.......\..\Nios2_LB0.cmp.logdb
.......\..\Nios2_LB0.cmp.rdb
.......\..\Nios2_LB0.cmp_merge.kpt
.......\..\Nios2_LB0.cuda_io_sim_cache.45um_ff_1200mv_0c_fast.hsd
.......\..\Nios2_LB0.cuda_io_sim_cache.45um_ii_1200mv_85c_slow.hsd
.......\..\Nios2_LB0.cuda_io_sim_cache.45um_ss_1200mv_85c_slow.hsd
.......\..\Nios2_LB0.db_info
.......\..\Nios2_LB0.eco.cdb
.......\..\Nios2_LB0.eds_overflow
.......\..\Nios2_LB0.fit.qmsg
.......\..\Nios2_LB0.fnsim.hdb
.......\..\Nios2_LB0.fnsim.qmsg
.......\..\Nios2_LB0.hier_info
.......\..\Nios2_LB0.hif
.......\..\Nios2_LB0.lpc.html
.......\..\Nios2_LB0.lpc.rdb
.......\..\Nios2_LB0.lpc.txt
.......\..\Nios2_LB0.lwq4723_clock0.rtl.mif
.......\..\Nios2_LB0.lwq4723_song_top0.rtl.mif
.......\..\Nios2_LB0.map.bpm
.......\..\Nios2_LB0.map.cdb
.......\..\Nios2_LB0.map.ecobp
.......\..\Nios2_LB0.map.hdb
.......\..\Nios2_LB0.map.kpt
.......\..\Nios2_LB0.map.logdb
.......\..\Nios2_LB0.map.qmsg
.......\..\Nios2_LB0.map_bb.cdb
.......\..\Nios2_LB0.map_bb.hdb
.......\..\Nios2_LB0.map_bb.logdb
.......\..\Nios2_LB0.mif_update.qmsg
.......\..\Nios2_LB0.Nios2_TOP0.rtl.mif
.......\..\Nios2_LB0.pre_map.cdb
.......\..\Nios2_LB0.pre_map.hdb
    

CodeBus www.codebus.net