Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Applications Post-TeleCom sofeware systems
Title: sinmdl Download
 Description: According to the official website manual, using matlab to do in dsp builder AM modulator examples of successful simulation. Compiled can be downloaded to quartus2, the reference for the study.
 Downloaders recently: [More information of uploader 苏耀东]
 To Search:
File list (Check if you may need any files):
 

sinmdl
......\sinmdl.ipx
......\sinmdl.mdl
......\sinmdl.mdlxml
......\sinmdl.qip
......\sinmdl_add.tcl
......\tb_sinmdl
......\.........\DspBuilder.cr.mti
......\.........\DspBuilder.mpf
......\.........\altera_mf
......\.........\.........\_info
......\.........\.........\_temp
......\.........\.........\_vmake
......\.........\.........\a_graycounter
......\.........\.........\.............\_primary.dat
......\.........\.........\.............\_primary.dbs
......\.........\.........\.............\behavior.dat
......\.........\.........\.............\behavior.dbs
......\.........\.........\alt3pram
......\.........\.........\........\_primary.dat
......\.........\.........\........\_primary.dbs
......\.........\.........\........\behavior.dat
......\.........\.........\........\behavior.dbs
......\.........\.........\alt_cal
......\.........\.........\.......\_primary.dat
......\.........\.........\.......\_primary.dbs
......\.........\.........\.......\rtl.dat
......\.........\.........\.......\rtl.dbs
......\.........\.........\altaccumulate
......\.........\.........\.............\_primary.dat
......\.........\.........\.............\_primary.dbs
......\.........\.........\.............\behaviour.dat
......\.........\.........\.............\behaviour.dbs
......\.........\.........\altcam
......\.........\.........\......\_primary.dat
......\.........\.........\......\_primary.dbs
......\.........\.........\......\behave.dat
......\.........\.........\......\behave.dbs
......\.........\.........\altclklock
......\.........\.........\..........\_primary.dat
......\.........\.........\..........\_primary.dbs
......\.........\.........\..........\behavior.dat
......\.........\.........\..........\behavior.dbs
......\.........\.........\altddio_bidir
......\.........\.........\.............\_primary.dat
......\.........\.........\.............\_primary.dbs
......\.........\.........\.............\struct.dat
......\.........\.........\.............\struct.dbs
......\.........\.........\altddio_in
......\.........\.........\..........\_primary.dat
......\.........\.........\..........\_primary.dbs
......\.........\.........\..........\behave.dat
......\.........\.........\..........\behave.dbs
......\.........\.........\altddio_out
......\.........\.........\...........\_primary.dat
......\.........\.........\...........\_primary.dbs
......\.........\.........\...........\behave.dat
......\.........\.........\...........\behave.dbs
......\.........\.........\altdpram
......\.........\.........\........\_primary.dat
......\.........\.........\........\_primary.dbs
......\.........\.........\........\behavior.dat
......\.........\.........\........\behavior.dbs
......\.........\.........\altdq_dqs
......\.........\.........\.........\_primary.dat
......\.........\.........\.........\_primary.dbs
......\.........\.........\.........\translated.dat
......\.........\.........\.........\translated.dbs
......\.........\.........\altera_common_conversion
......\.........\.........\........................\_primary.dat
......\.........\.........\........................\_primary.dbs
......\.........\.........\........................\body.dat
......\.........\.........\........................\body.dbs
......\.........\.........\altera_device_families
......\.........\.........\......................\_primary.dat
......\.........\.........\......................\_primary.dbs
......\.........\.........\......................\body.dat
......\.........\.........\......................\body.dbs
......\.........\.........\altera_mf_components
......\.........\.........\....................\_primary.dat
......\.........\.........\....................\_primary.dbs
......\.........\.........\altera_mf_hint_evaluation
......\.........\.........\.........................\_primary.dat
......\.........\.........\.........................\_primary.dbs
......\.........\.........\.........................\body.dat
......\.........\.........\.........................\body.dbs
......\.........\.........\altera_std_synchronizer
......\.........\

CodeBus www.codebus.net