Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: PS2 Download
 Description: ps2 keyboard connector program, display characters from the keyboard to control the development of the digital board.
 Downloaders recently: [More information of uploader 夏休休]
 To Search:
File list (Check if you may need any files):
 

PS2键盘接口 A
.............\PL_FSK2
.............\.......\PL_FSK2.asm.rpt
.............\.......\PL_FSK2.cdf
.............\.......\PL_FSK2.done
.............\.......\PL_FSK2.fit.rpt
.............\.......\PL_FSK2.fit.smsg
.............\.......\PL_FSK2.fit.summary
.............\.......\PL_FSK2.flow.rpt
.............\.......\PL_FSK2.map.rpt
.............\.......\PL_FSK2.map.summary
.............\.......\PL_FSK2.pin
.............\.......\PL_FSK2.pof
.............\.......\PL_FSK2.qpf
.............\.......\PL_FSK2.qsf
.............\.......\PL_FSK2.qws
.............\.......\PL_FSK2.sof
.............\.......\PL_FSK2.tan.rpt
.............\.......\PL_FSK2.tan.summary
.............\.......\PL_FSK2.vhd
.............\.......\db
.............\.......\..\PL_FSK2.asm.qmsg
.............\.......\..\PL_FSK2.asm_labs.ddb
.............\.......\..\PL_FSK2.cbx.xml
.............\.......\..\PL_FSK2.cmp.cdb
.............\.......\..\PL_FSK2.cmp.hdb
.............\.......\..\PL_FSK2.cmp.kpt
.............\.......\..\PL_FSK2.cmp.logdb
.............\.......\..\PL_FSK2.cmp.rdb
.............\.......\..\PL_FSK2.cmp.tdb
.............\.......\..\PL_FSK2.cmp0.ddb
.............\.......\..\PL_FSK2.db_info
.............\.......\..\PL_FSK2.dbp
.............\.......\..\PL_FSK2.eco.cdb
.............\.......\..\PL_FSK2.fit.qmsg
.............\.......\..\PL_FSK2.hier_info
.............\.......\..\PL_FSK2.hif
.............\.......\..\PL_FSK2.map.cdb
.............\.......\..\PL_FSK2.map.hdb
.............\.......\..\PL_FSK2.map.logdb
.............\.......\..\PL_FSK2.map.qmsg
.............\.......\..\PL_FSK2.pre_map.cdb
.............\.......\..\PL_FSK2.pre_map.hdb
.............\.......\..\PL_FSK2.psp
.............\.......\..\PL_FSK2.rtlv.hdb
.............\.......\..\PL_FSK2.rtlv_sg.cdb
.............\.......\..\PL_FSK2.rtlv_sg_swap.cdb
.............\.......\..\PL_FSK2.sgdiff.cdb
.............\.......\..\PL_FSK2.sgdiff.hdb
.............\.......\..\PL_FSK2.signalprobe.cdb
.............\.......\..\PL_FSK2.sld_design_entry.sci
.............\.......\..\PL_FSK2.sld_design_entry_dsc.sci
.............\.......\..\PL_FSK2.syn_hier_info
.............\.......\..\PL_FSK2.tan.qmsg
.............\db
.............\..\keyboardVhdl.asm.qmsg
.............\..\keyboardVhdl.asm_labs.ddb
.............\..\keyboardVhdl.cbx.xml
.............\..\keyboardVhdl.cmp.cdb
.............\..\keyboardVhdl.cmp.hdb
.............\..\keyboardVhdl.cmp.kpt
.............\..\keyboardVhdl.cmp.logdb
.............\..\keyboardVhdl.cmp.rdb
.............\..\keyboardVhdl.cmp.tdb
.............\..\keyboardVhdl.cmp0.ddb
.............\..\keyboardVhdl.db_info
.............\..\keyboardVhdl.eco.cdb
.............\..\keyboardVhdl.fit.qmsg
.............\..\keyboardVhdl.hier_info
.............\..\keyboardVhdl.hif
.............\..\keyboardVhdl.lpc.html
.............\..\keyboardVhdl.lpc.rdb
.............\..\keyboardVhdl.lpc.txt
.............\..\keyboardVhdl.map.cdb
.............\..\keyboardVhdl.map.hdb
.............\..\keyboardVhdl.map.logdb
.............\..\keyboardVhdl.map.qmsg
.............\..\keyboardVhdl.pre_map.cdb
.............\..\keyboardVhdl.pre_map.hdb
.............\..\keyboardVhdl.rtlv.hdb
.............\..\keyboardVhdl.rtlv_sg.cdb
.............\..\keyboardVhdl.rtlv_sg_swap.cdb
.............\..\keyboardVhdl.sgdiff.cdb
.............\..\keyboardVhdl.sgdiff.hdb
.............\..\keyboardVhdl.sld_design_entry.sci
.............\..\keyboardVhdl.sld_design_entry_dsc.sci
.............\..\keyboardVhdl.syn_hier_info
.............\..\keyboardVhdl.tan.qmsg
.............\..\keyboardVhdl.tis_db_list.ddb
.............\..\keyboardVhdl.tmw_info
.............\..\prev_cmp_keyboardVhdl.asm.qmsg
.............\..\prev_cmp_keyboardVhdl.fit.qmsg
.............\..\prev_cmp_keyboardVhdl.map.qmsg
.............\..\prev_cmp_keyboardVhdl.qmsg
.............\..\prev_cmp_keyboardVhdl.tan.qmsg
.............\incremental_db
.............\..............\README
.............\..............\compiled_partitions
.............\..............\...................\keyboardVhdl.root_partition.map.kpt
.............\keyboardVhdl.asm.rpt
    

CodeBus www.codebus.net