Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: example Download
 Description: An electronic stopwatch, the maximum display 59.99, with a pause and reset functions
 Downloaders recently: [More information of uploader 王翰宇]
 To Search:
File list (Check if you may need any files):
 

example\attemp\.lso
.......\......\attemp.cmd_log
.......\......\attemp.gise
.......\......\attemp.lso
.......\......\attemp.prj
.......\......\attemp.syr
.......\......\attemp.v
.......\......\attemp.xise
.......\......\attemp.xst
.......\......\attemp_envsettings.html
.......\......\attemp_summary.html
.......\......\attemp_xst.xrpt
.......\......\dc.bgn
.......\......\dc.bit
.......\......\dc.bld
.......\......\dc.cmd_log
.......\......\dc.drc
.......\......\dc.lso
.......\......\dc.ncd
.......\......\dc.ngc
.......\......\dc.ngd
.......\......\dc.ngr
.......\......\dc.pad
.......\......\dc.par
.......\......\dc.pcf
.......\......\dc.prj
.......\......\dc.ptwx
.......\......\dc.stx
.......\......\dc.syr
.......\......\dc.twr
.......\......\dc.twx
.......\......\dc.ucf
.......\......\dc.unroutes
.......\......\dc.ut
.......\......\dc.xpi
.......\......\dc.xst
.......\......\dc_bitgen.xwbt
.......\......\dc_envsettings.html
.......\......\dc_guide.ncd
.......\......\dc_map.map
.......\......\dc_map.mrp
.......\......\dc_map.ncd
.......\......\dc_map.ngm
.......\......\dc_map.xrpt
.......\......\dc_ngdbuild.xrpt
.......\......\dc_pad.csv
.......\......\dc_pad.txt
.......\......\dc_par.xrpt
.......\......\dc_summary.html
.......\......\dc_summary.xml
.......\......\dc_usage.xml
.......\......\dc_xst.xrpt
.......\......\iseconfig\attemp.projectmgr
.......\......\.........\attemp.xreport
.......\......\.........\dc.xreport
.......\......\pa.fromNetlist.tcl
.......\......\planAhead.ngc2edif.log
.......\......\planAhead_pid4684.debug
.......\......\planAhead_pid5960.debug
.......\......\planAhead_pid748.debug
.......\......\..........run_1\planAhead.jou
.......\......\...............\planAhead.log
.......\......\...............\planAhead_run.log
.......\......\..............2\attemp.data\cache\dc_ngc_zx.edif
.......\......\...............\...........\.onstrs_1\designprops.xml
.......\......\...............\...........\.........\fileset.xml
.......\......\...............\...........\.........\usercols.xml
.......\......\...............\...........\runs\impl_1.psg
.......\......\...............\...........\....\runs.xml
.......\......\...............\...........\sim_1\fileset.xml
.......\......\...............\...........\.ources_1\chipscope.xml
.......\......\...............\...........\.........\fileset.xml
.......\......\...............\...........\.........\ports.xml
.......\......\...............\...........\wt\java_command_handlers.wdf
.......\......\...............\...........\..\project.wpc
.......\......\...............\...........\..\webtalk_pa.xml
.......\......\...............\attemp.ppr
.......\......\...............\planAhead.jou
.......\......\...............\planAhead.log
.......\......\...............\planAhead_run.log
.......\......\..............3\attemp.data\cache\dc_ngc_zx.edif
.......\......\...............\...........\.onstrs_1\fileset.xml
.......\......\...............\...........\runs\impl_1.psg
.......\......\...............\...........\....\runs.xml
.......\......\...............\...........\sim_1\fileset.xml
.......\......\...............\...........\.ources_1\fileset.xml
.......\......\...............\...........\wt\project.wpc
.......\......\...............\...........\..\webtalk_pa.xml
.......\......\...............\attemp.ppr
.......\......\...............\planAhead.jou
.......\......\...............\planAhead.log
.......\......\...............\planAhead_run.log
.......\......\usage_statistics_webtalk.html
.......\......\webtalk.log
.......\......\webtalk_pn.xml
.......\......\xlnx_auto_0_xdb\cst.xbcd
.......\......\.st\work\hdllib.ref
.......\......\...\....\vlg57\dc.bin
.......\......\...\....\...6D\count.bin
.......\......\...\....\...7E\led__decoder.bin
    

CodeBus www.codebus.net