Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: counter Download
 Description: 100MHZ clock signal through a divider to get 1HZ signal, and then input to the three counters, the output of the counter displayed on the corresponding LED lights on the FPGA. The program consists of four main parts: the test file, the top-level file, split screen modules and counter module.
 Downloaders recently: [More information of uploader asong]
 To Search:
File list (Check if you may need any files):
 

counter\counter3b.v
.......\div_clk.v
.......\test_counter.v
.......\top.v
counter
    

CodeBus www.codebus.net