Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: clock Download
 Description: Self developed electronic clock applet, through the digital tube display time, key1 and key2 control time correction, Key3 switch clock mode and alarm mode, switch to the alarm mode, then press key1 and key2 can set the alarm time. Key4 controls the opening / closing of the alarm clock. There is a whole time function.
 Downloaders recently: [More information of uploader 孙天人 ]
 To Search:
File list (Check if you may need any files):
FilenameSizeDate
clock\anjiandingyi.v 2499 2017-06-19
clock\anjiandingyi.v.bak 2030 2017-06-13
clock\clock.asm.rpt 7335 2017-06-19
clock\clock.cdf 337 2017-06-19
clock\clock.done 26 2017-06-29
clock\clock.eda.rpt 2706 2017-06-13
clock\clock.fit.rpt 565659 2017-06-19
clock\clock.fit.smsg 513 2017-06-19
clock\clock.fit.summary 619 2017-06-19
clock\clock.flow.rpt 48152 2017-06-29
clock\clock.jdi 4157 2017-06-19
clock\clock.map.rpt 40670 2017-06-29
clock\clock.map.summary 678 2017-06-29
clock\clock.merge.rpt 51761 2017-06-19
clock\clock.pin 32985 2017-06-19
clock\clock.qpf 1283 2017-06-12
clock\clock.qsf 19365 2017-08-11
clock\clock.sof 496610 2017-06-19
clock\clock.sta.rpt 825442 2017-06-19
clock\clock.sta.summary 1641 2017-06-19
clock\clock.tis_db_list.ddb 249 2017-06-15
clock\count_1s.v 1951 2017-06-19
clock\count_1s.v.bak 514 2017-06-13
clock\count_s.tcl 335 2017-06-12
clock\cout_1s.v.bak 501 2017-06-12
clock\db\altsyncram_eu14.tdf 41824 2017-06-18
clock\db\clock.(0).cnf.cdb 2859 2017-06-19
clock\db\clock.(0).cnf.hdb 1412 2017-06-19
clock\db\clock.(1).cnf.cdb 6566 2017-06-19
clock\db\clock.(1).cnf.hdb 1583 2017-06-19
clock\db\clock.(10).cnf.cdb 5130 2017-06-18
clock\db\clock.(10).cnf.hdb 2230 2017-06-18
clock\db\clock.(11).cnf.cdb 19351 2017-06-18
clock\db\clock.(11).cnf.hdb 9154 2017-06-18
clock\db\clock.(12).cnf.cdb 3679 2017-06-18
clock\db\clock.(12).cnf.hdb 2431 2017-06-18
clock\db\clock.(13).cnf.cdb 1750 2017-06-18
clock\db\clock.(13).cnf.hdb 664 2017-06-18
clock\db\clock.(14).cnf.cdb 3368 2017-06-18
clock\db\clock.(14).cnf.hdb 1751 2017-06-18
clock\db\clock.(15).cnf.cdb 9597 2017-06-18
clock\db\clock.(15).cnf.hdb 972 2017-06-18
clock\db\clock.(16).cnf.cdb 5480 2017-06-18
clock\db\clock.(16).cnf.hdb 3060 2017-06-18
clock\db\clock.(17).cnf.cdb 1462 2017-06-18
clock\db\clock.(17).cnf.hdb 782 2017-06-18
clock\db\clock.(18).cnf.cdb 1682 2017-06-18
clock\db\clock.(18).cnf.hdb 1429 2017-06-18
clock\db\clock.(19).cnf.cdb 2478 2017-06-18
clock\db\clock.(19).cnf.hdb 693 2017-06-18
clock\db\clock.(2).cnf.cdb 2452 2017-06-19
clock\db\clock.(2).cnf.hdb 1394 2017-06-19
clock\db\clock.(20).cnf.cdb 9001 2017-06-18
clock\db\clock.(20).cnf.hdb 2387 2017-06-18
clock\db\clock.(21).cnf.cdb 2203 2017-06-18
clock\db\clock.(21).cnf.hdb 684 2017-06-18
clock\db\clock.(22).cnf.cdb 2440 2017-06-18
clock\db\clock.(22).cnf.hdb 791 2017-06-18
clock\db\clock.(23).cnf.cdb 2776 2017-06-18
clock\db\clock.(23).cnf.hdb 950 2017-06-18
clock\db\clock.(24).cnf.cdb 3611 2017-06-18
clock\db\clock.(24).cnf.hdb 1088 2017-06-18
clock\db\clock.(25).cnf.cdb 1399 2017-06-18
clock\db\clock.(25).cnf.hdb 564 2017-06-18
clock\db\clock.(26).cnf.cdb 1878 2017-06-18
clock\db\clock.(26).cnf.hdb 1026 2017-06-18
clock\db\clock.(27).cnf.cdb 892 2017-06-18
clock\db\clock.(27).cnf.hdb 554 2017-06-18
clock\db\clock.(28).cnf.cdb 746 2017-06-18
clock\db\clock.(28).cnf.hdb 539 2017-06-18
clock\db\clock.(29).cnf.cdb 4698 2017-06-18
clock\db\clock.(29).cnf.hdb 2863 2017-06-18
clock\db\clock.(3).cnf.cdb 19807 2017-06-19
clock\db\clock.(3).cnf.hdb 2236 2017-06-19
clock\db\clock.(30).cnf.cdb 1299 2017-06-18
clock\db\clock.(30).cnf.hdb 694 2017-06-18
clock\db\clock.(31).cnf.cdb 3642 2017-06-18
clock\db\clock.(31).cnf.hdb 1044 2017-06-18
clock\db\clock.(32).cnf.cdb 1028 2017-06-18
clock\db\clock.(32).cnf.hdb 691 2017-06-18
clock\db\clock.(33).cnf.cdb 1338 2017-06-18
clock\db\clock.(33).cnf.hdb 691 2017-06-18
clock\db\clock.(34).cnf.cdb 2876 2017-06-18
clock\db\clock.(34).cnf.hdb 941 2017-06-18
clock\db\clock.(35).cnf.cdb 5112 2017-06-18
clock\db\clock.(35).cnf.hdb 787 2017-06-18
clock\db\clock.(36).cnf.cdb 3373 2017-06-18
clock\db\clock.(36).cnf.hdb 721 2017-06-18
clock\db\clock.(37).cnf.cdb 1265 2017-06-18
clock\db\clock.(37).cnf.hdb 692 2017-06-18
clock\db\clock.(38).cnf.cdb 3072 2017-06-18
clock\db\clock.(38).cnf.hdb 1009 2017-06-18
clock\db\clock.(39).cnf.cdb 938 2017-06-18
clock\db\clock.(39).cnf.hdb 664 2017-06-18
clock\db\clock.(4).cnf.cdb 2873 2017-06-19
clock\db\clock.(4).cnf.hdb 1476 2017-06-19
clock\db\clock.(40).cnf.cdb 1208 2017-06-18
clock\db\clock.(40).cnf.hdb 692 2017-06-18
clock\db\clock.(41).cnf.cdb 2084 2017-06-18
clock\db\clock.(41).cnf.hdb 911 2017-06-18

CodeBus www.codebus.net