Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: Electric_clock Download
 Description: Verilog digital clock, has achieved Diamond FPGA hardware simulation and test environment
 Downloaders recently: [More information of uploader 陈弘舟]
 To Search:
File list (Check if you may need any files):
 

Electric_clock\.run_manager.ini
..............\.setting.ini
..............\.spreadsheet_view.ini
..............\.spread_sheet.ini
..............\Clock_cnt.v
..............\........._test\Clock_cnt.v
..............\..............\Clock_cnt_test.cr.mti
..............\..............\Clock_cnt_test.mdo
..............\..............\Clock_cnt_test.mpf
..............\..............\Clock_cnt_test.spf
..............\..............\Clock_cnt_test.v
..............\..............\modelsim.ini
..............\..............\sim_para.tcl
..............\..............\vsim.wlf
..............\..............\work\@clock_cnt\_primary.dat
..............\..............\....\..........\_primary.dbs
..............\..............\....\..........\_primary.vhd
..............\..............\....\.........._test\_primary.dat
..............\..............\....\...............\_primary.dbs
..............\..............\....\...............\_primary.vhd
..............\..............\....\._opt\vopt3ri1xe
..............\..............\....\.....\vopt7bxs5n
..............\..............\....\.....\vopt8kafeh
..............\..............\....\.....\vopta3gv25
..............\..............\....\.....\vopta953tx
..............\..............\....\.....\voptcyzn5a
..............\..............\....\.....\voptentjbc
..............\..............\....\.....\vopthaxs5n
..............\..............\....\.....\voptm1rdh2
..............\..............\....\.....\voptsy79k7
..............\..............\....\.....\voptvj2xzj
..............\..............\....\.....\voptwcm7qr
..............\..............\....\.....\voptx8xgb0
..............\..............\....\.....\_deps
..............\..............\....\_info
..............\..............\....\_vmake
..............\Clock_ctl.v
..............\Clock_div.v
..............\Clock_trans.v
..............\Debounce.v
..............\Display.v
..............\Electric_clock.ccl
..............\Electric_clock.ldf
..............\Electric_clock.lpf
..............\Electric_clock.v
..............\Electric_clock1.sty
..............\Electric_clock_tcl.html
..............\.................r.dir\pn160725175535.tcr
..............\......................\pn160726182301.tcr
..............\......................\pn160728002657.tcr
..............\......................\pn170306200320.tcr
..............\impl1\.build_status
..............\.....\.vdbs\dbStat.txt
..............\.....\.....\Electric_clock_impl1_map.vdb
..............\.....\.....\Electric_clock_rtl.vdb
..............\.....\.....\Electric_clock_tech.vdb
..............\.....\automake.log
..............\.....\Clock_cnt_drc.log
..............\.....\Clock_cnt_lse.twr
..............\.....\Clock_cnt_lse_lsetwr.html
..............\.....\Clock_cnt_prim.v
..............\.....\Debounce_drc.log
..............\.....\Debounce_lse.twr
..............\.....\Debounce_lse_lsetwr.html
..............\.....\Debounce_prim.v
..............\.....\Electric_clock_drc.log
..............\.....\Electric_clock_impl1.alt
..............\.....\Electric_clock_impl1.arearep
..............\.....\Electric_clock_impl1.bgn
..............\.....\Electric_clock_impl1.bit
..............\.....\.....................dir\5_1.ncd
..............\.....\........................\5_1.pad
..............\.....\........................\5_1.par
..............\.....\........................\5_1_par.asd
..............\.....\........................\Electric_clock_impl1.par
..............\.....\Electric_clock_impl1.drc
..............\.....\Electric_clock_impl1.jed
..............\.....\Electric_clock_impl1.log
..............\.....\Electric_clock_impl1.lpf
..............\.....\Electric_clock_impl1.lsedata
..............\.....\Electric_clock_impl1.mrp
..............\.....\Electric_clock_impl1.ncd
..............\.....\Electric_clock_impl1.ngd
..............\.....\Electric_clock_impl1.p2t
..............\.....\Electric_clock_impl1.p3t
..............\.....\Electric_clock_impl1.pad
..............\.....\Electric_clock_impl1.par
..............\.....\Electric_clock_impl1.prf
..............\.....\Electric_clock_impl1.pt
..............\.....\

CodeBus www.codebus.net