Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: key_test Download
 Description: The key program of FPGA realizes the corresponding lighting between keys and led.
 Downloaders recently: [More information of uploader ddq01]
 To Search:
File list (Check if you may need any files):
FilenameSizeDate
key_test.v 2837 2017-07-27
key_test_tb.v 429 2017-07-25
key_test.qpf 31 2017-07-27

CodeBus www.codebus.net