Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: shifter Download
 Description: Xilinx's FPGA shift register code based on vivado
 Downloaders recently: [More information of uploader hyiming]
 To Search:
File list (Check if you may need any files):
FilenameSizeDate
shifter\project_1\project_1.cache\wt\gui_handlers.wdf 2922 2018-04-20
shifter\project_1\project_1.cache\wt\java_command_handlers.wdf 995 2018-04-20
shifter\project_1\project_1.cache\wt\project.wpc 61 2018-04-20
shifter\project_1\project_1.cache\wt\synthesis.wdf 5388 2018-04-20
shifter\project_1\project_1.cache\wt\synthesis_details.wdf 100 2018-04-20
shifter\project_1\project_1.cache\wt\webtalk_pa.xml 3677 2018-04-20
shifter\project_1\project_1.hw\project_1.lpr 290 2018-04-20
shifter\project_1\project_1.runs\.jobs\vrs_config_1.xml 221 2018-04-20
shifter\project_1\project_1.runs\.jobs\vrs_config_2.xml 235 2018-04-20
shifter\project_1\project_1.runs\impl_1\.init_design.begin.rst 184 2018-04-20
shifter\project_1\project_1.runs\impl_1\.init_design.end.rst 0 2018-04-20
shifter\project_1\project_1.runs\impl_1\.opt_design.begin.rst 184 2018-04-20
shifter\project_1\project_1.runs\impl_1\.opt_design.end.rst 0 2018-04-20
shifter\project_1\project_1.runs\impl_1\.place_design.begin.rst 184 2018-04-20
shifter\project_1\project_1.runs\impl_1\.place_design.end.rst 0 2018-04-20
shifter\project_1\project_1.runs\impl_1\.route_design.begin.rst 184 2018-04-20
shifter\project_1\project_1.runs\impl_1\.route_design.end.rst 0 2018-04-20
shifter\project_1\project_1.runs\impl_1\.vivado.begin.rst 183 2018-04-20
shifter\project_1\project_1.runs\impl_1\.vivado.end.rst 0 2018-04-20
shifter\project_1\project_1.runs\impl_1\.Vivado_Implementation.queue.rst 0 2018-04-20
shifter\project_1\project_1.runs\impl_1\gen_run.xml 5300 2018-04-20
shifter\project_1\project_1.runs\impl_1\htr.txt 391 2018-04-20
shifter\project_1\project_1.runs\impl_1\init_design.pb 1540 2018-04-20
shifter\project_1\project_1.runs\impl_1\ISEWrap.js 7308 2018-04-20
shifter\project_1\project_1.runs\impl_1\ISEWrap.sh 1623 2018-04-20
shifter\project_1\project_1.runs\impl_1\opt_design.pb 7448 2018-04-20
shifter\project_1\project_1.runs\impl_1\place_design.pb 12717 2018-04-20
shifter\project_1\project_1.runs\impl_1\project.wdf 3634 2018-04-20
shifter\project_1\project_1.runs\impl_1\route_design.pb 12349 2018-04-20
shifter\project_1\project_1.runs\impl_1\route_report_bus_skew_0.rpt 842 2018-04-20
shifter\project_1\project_1.runs\impl_1\route_report_bus_skew_0.rpx 943 2018-04-20
shifter\project_1\project_1.runs\impl_1\rundef.js 1384 2018-04-20
shifter\project_1\project_1.runs\impl_1\runme.bat 229 2018-04-20
shifter\project_1\project_1.runs\impl_1\runme.log 21227 2018-04-20
shifter\project_1\project_1.runs\impl_1\runme.sh 1251 2018-04-20
shifter\project_1\project_1.runs\impl_1\top.dcp 7347 2018-04-20
shifter\project_1\project_1.runs\impl_1\top.tcl 5164 2018-04-20
shifter\project_1\project_1.runs\impl_1\top.vdi 21134 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_clock_utilization_routed.rpt 18142 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_control_sets_placed.rpt 2863 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_drc_opted.pb 37 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_drc_opted.rpt 4446 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_drc_opted.rpx 5384 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_drc_routed.pb 37 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_drc_routed.rpt 4450 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_drc_routed.rpx 5385 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_io_placed.rpt 231056 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_methodology_drc_routed.pb 52 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_methodology_drc_routed.rpt 1902 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_methodology_drc_routed.rpx 812 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_opt.dcp 7729 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_placed.dcp 274378 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_power_routed.rpt 8156 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_power_routed.rpx 5432 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_power_summary_routed.pb 720 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_routed.dcp 277790 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_route_status.pb 43 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_route_status.rpt 588 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_timing_summary_routed.pb 52 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_timing_summary_routed.rpt 7471 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_timing_summary_routed.rpx 4467 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_utilization_placed.pb 274 2018-04-20
shifter\project_1\project_1.runs\impl_1\top_utilization_placed.rpt 9260 2018-04-20
shifter\project_1\project_1.runs\impl_1\vivado.jou 707 2018-04-20
shifter\project_1\project_1.runs\impl_1\vivado.pb 149 2018-04-20
shifter\project_1\project_1.runs\synth_1\.vivado.begin.rst 183 2018-04-20
shifter\project_1\project_1.runs\synth_1\.vivado.end.rst 0 2018-04-20
shifter\project_1\project_1.runs\synth_1\.Vivado_Synthesis.queue.rst 0 2018-04-20
shifter\project_1\project_1.runs\synth_1\gen_run.xml 1578 2018-04-20
shifter\project_1\project_1.runs\synth_1\htr.txt 383 2018-04-20
shifter\project_1\project_1.runs\synth_1\ISEWrap.js 7308 2018-04-20
shifter\project_1\project_1.runs\synth_1\ISEWrap.sh 1623 2018-04-20
shifter\project_1\project_1.runs\synth_1\project.wdf 3634 2018-04-20
shifter\project_1\project_1.runs\synth_1\rundef.js 1313 2018-04-20
shifter\project_1\project_1.runs\synth_1\runme.bat 229 2018-04-20
shifter\project_1\project_1.runs\synth_1\runme.log 14975 2018-04-20
shifter\project_1\project_1.runs\synth_1\runme.sh 1188 2018-04-20
shifter\project_1\project_1.runs\synth_1\top.dcp 7382 2018-04-20
shifter\project_1\project_1.runs\synth_1\top.tcl 2263 2018-04-20
shifter\project_1\project_1.runs\synth_1\top.vds 15079 2018-04-20
shifter\project_1\project_1.runs\synth_1\top_utilization_synth.pb 274 2018-04-20
shifter\project_1\project_1.runs\synth_1\top_utilization_synth.rpt 6980 2018-04-20
shifter\project_1\project_1.runs\synth_1\vivado.jou 702 2018-04-20
shifter\project_1\project_1.runs\synth_1\vivado.pb 24022 2018-04-20
shifter\project_1\project_1.runs\synth_1\__synthesis_is_complete__ 0 2018-04-20
shifter\project_1\project_1.srcs\sources_1\new\top.v 678 2018-04-20
shifter\project_1\project_1.xpr 6712 2018-04-20
shifter\project_1\project_1.cache\ip\2018.1 0 2018-04-20
shifter\project_1\project_1.runs\impl_1\.Xil 0 2018-04-20
shifter\project_1\project_1.runs\synth_1\.Xil 0 2018-04-20
shifter\project_1\project_1.srcs\sources_1\new 0 2018-10-26
shifter\project_1\project_1.cache\ip 0 2018-10-26
shifter\project_1\project_1.cache\wt 0 2018-10-26
shifter\project_1\project_1.runs\.jobs 0 2018-10-26
shifter\project_1\project_1.runs\impl_1 0 2018-10-26
shifter\project_1\project_1.runs\synth_1 0 2018-10-26
shifter\project_1\project_1.srcs\sources_1 0 2018-10-26
shifter\project_1\project_1.cache 0 2018-10-26
shifter\project_1\project_1.hw 0 2018-10-26
shifter\project_1\project_1.ip_user_files 0 2018-04-20

CodeBus www.codebus.net