Welcome![Sign In][Sign Up]
Location:
SourceCode Communication-Mobile Com Port

Com Port List Page 1072

« 1 2 ... .67 .68 .69 .70 .71 1072.73 .74 .75 .76 .77 ... 1228 »

[Com PortSCommTool

Description: Is a serial communication program, complete with set-top box communications, process and realize self-set-top box automatically sent programmer set-top box features a serial number.
Platform: | Size: 80095 | Author: 汪建国 | Hits:

[Com Portrs232485spi

Description: Serial communication protocol, suitable for beginners. (232,485 spi)
Platform: | Size: 34800 | Author: 紫木 | Hits:

[Com PortSerialPortLib

Description: A serial-type
Platform: | Size: 84779 | Author: 赖易名 | Hits:

[Com Port31

Description: IO port analog 232 communication program
Platform: | Size: 3781 | Author: 李锋 | Hits:

[Com Portcar

Description: Car lamp cycle display program VC source 123213213
Platform: | Size: 11917 | Author: Qsfw | Hits:

[Com PortRS485RS422dingyi

Description: Types of serial communication to the various definitions, such as RS485, RS422, transmission, transmission distance
Platform: | Size: 34313 | Author: yuzq | Hits:

[Com PortCRc-c

Description: Modbus in the CRC checksum, the introduction of three methods as well as their source
Platform: | Size: 4208 | Author: wengjn | Hits:

[Com Portserial

Description: I have written serial communication procedures, using standard control to do, and can be easily rewritten
Platform: | Size: 290404 | Author: 李健 | Hits:

[Com Portmodemtest

Description: Check the serial is good. Check the serial communication of a good way. Written using VB.
Platform: | Size: 8975 | Author: joke | Hits:

[Com PortCComCommunication

Description: A C-series Serial Communication Program (including the original code), although under VC compiler, but also suitable for all other C platform
Platform: | Size: 42427 | Author: 还得 | Hits:

[Com Portudp

Description: udp communication protocol software design with the word abominable 20
Platform: | Size: 964 | Author: 李思源 | Hits:

[Com Portuart

Description: this a Uart source code using Verilog.
Platform: | Size: 10294 | Author: Daniel Zhang | Hits:
« 1 2 ... .67 .68 .69 .70 .71 1072.73 .74 .75 .76 .77 ... 1228 »

CodeBus www.codebus.net