Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop SCM
Title: 51_core_embedded_PFGA Download
 Description: 51 core embedded PFGA
 Downloaders recently: [More information of uploader 345039031]
 To Search:
  • [rtx51fulluse] - 51 running real-time operating systems,
  • [51corevhdl] - 51 microcontroller core VHDL achieve Xil
  • [cpld-pwm] - CPLD-based control design uses the pwm d
  • [00011ipcore51] - 51-core single-chip realization of the V
  • [8051] - alter the company' s mcu nuclear, 805
  • [FPGA_8051core] - 8051 single-chip FPGA embedded in the co
  • [C8051IP] - FPGA applications, 51 MCU IP core, singl
  • [51IP] - Be very useful for the preparation of th
  • [fft] - FPGA-based 51-core, vhdl, FPGA developme
File list (Check if you may need any files):
复件 DM10_KX8051_LCD128X64_C5H
..............................\adder10b.vhd
..............................\adder32b.vhd
..............................\ASM
..............................\...\A240.ASM
..............................\...\A240.BIN
..............................\...\A240.HEX
..............................\...\A240.LST
..............................\...\A240D.ASM
..............................\...\A240D.BIN
..............................\...\A240D.HEX
..............................\...\A240D.LST
..............................\...\A240NP0.ASM
..............................\...\A240NP0.BIN
..............................\...\A240NP0.HEX
..............................\...\A240NP0.LST
..............................\...\COMMB.ASM
..............................\...\COMMB.BIN
..............................\...\COMMB.HEX
..............................\...\COMMB.LST
..............................\...\COMMC.HEX
..............................\...\COMMC.LST
..............................\...\COMMC0.ASM
..............................\...\COMMC0.BIN
..............................\...\COMMC0.HEX
..............................\...\COMMC0.LST
..............................\...\LCD128.ASM
..............................\...\LCD128.BIN
..............................\...\LCD128.HEX
..............................\...\LCD128.LST
..............................\...\LCD16T.ASM
..............................\...\LCD16T.BIN
..............................\...\LCD16T.HEX
..............................\...\LCD16T.LST
..............................\cmp_state.ini
..............................\CNT32B.bdf
..............................\CNT32B.bsf
..............................\CNT6B.bdf
..............................\CNT6B.bsf
..............................\COUNTER10.bdf
..............................\COUNTER10.bsf
..............................\CPU8051.bsf
..............................\CPU8051C1.bsf
..............................\CPU8051V1.bsf
..............................\CPU8051V1.vqm
..............................\CPU_Core.bsf
..............................\data
..............................\....\LUT10X10.hex
..............................\....\LUT10X10.mif
..............................\db
..............................\..\MCU8951.db_info
..............................\DECL7S.vhd
..............................\GWDVP_M1.HEX
..............................\incremental_db
..............................\..............\compiled_partitions
..............................\..............\...................\MCU8951.root_partition.map.kpt
..............................\..............\README
..............................\LOCK32.bdf
..............................\LOCK32.bsf
..............................\M8051CORE_file.map
..............................\MCU8951.bdf
..............................\MCU8951.cdf
..............................\MCU8951.done
..............................\MCU8951.dpf
..............................\MCU8951.fit.smsg
..............................\MCU8951.fit.summary
..............................\MCU8951.jdi
..............................\MCU8951.map.summary
..............................\MCU8951.pin
..............................\MCU8951.pof
..............................\MCU8951.qpf
..............................\MCU8951.qsf
..............................\MCU8951.sof
..............................\MCU8951.tan.summary
..............................\MCU8951_assignment_defaults.qdf
..............................\MCUCOM
..............................\......\ASM51B.EXE
..............................\......\COMM.ASM
..............................\......\COMM.OBJ
..............................\......\COMM1.ASM
..............................\......\COMM1.BIN
..............................\......\COMM1.HEX
..............................\......\COMM1.LST
..............................\......\COMMA.ASM
..............................\......\COMMA.BIN
..............................\......\COMMA.HEX
..............................\......\COMMA.LST
..............................\......\COMMB.ASM
..............................\......\COMMB.B

CodeBus www.codebus.net