Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Windows Develop Other
Title: MD_DDS_10bit_VHDL Download
 Description: 10 DA output of the DDS, with the VHDL implementation, environment: ISE 8.1, simulation software: ModelSim_SE_6.1b
 Downloaders recently: [More information of uploader pshubao]
 To Search:
File list (Check if you may need any files):
DDS_10bit_VHDL\C_program for MD_DDS\soft\DDS_comun.c
..............\....................\....\DDS_comun.h
..............\....................\....\DDS_comun.LST
..............\....................\....\DDS_comun.OBJ
..............\....................\....\dds_c_soft
..............\....................\....\dds_c_soft.hex
..............\....................\....\dds_c_soft.M51
..............\....................\....\dds_c_soft.Opt
..............\....................\....\dds_c_soft.plg
..............\....................\....\dds_c_soft.Uv2
..............\....................\....\dds_c_soft_Opt.Bak
..............\....................\....\dds_c_soft_Uv2.Bak
..............\....................\....\STARTUP.A51
..............\....................\....\STARTUP.lst
..............\....................\....\STARTUP.obj
..............\MD_DDS\ADDER10B.VHD
..............\......\ADDER32B.vhd
..............\......\dds_bench.vhd
..............\......\dds_bench_vhd.fdo
..............\......\dds_bench_vhd.udo
..............\......\DDS_test.vhd
..............\......\decoder\decoder.VHD
..............\......\decoder.spl
..............\......\decoder.sym
..............\......\decoder.VHD
..............\......\decoder_test.vhd
..............\......\md_dds.bgn
..............\......\md_dds.bit
..............\......\MD_DDS.bld
..............\......\MD_DDS.cel
..............\......\MD_DDS.cmd_log
..............\......\md_dds.drc
..............\......\MD_DDS.fdo
..............\......\MD_DDS.ise
..............\......\MD_DDS.ise_ISE_Backup
..............\......\MD_DDS.jhd
..............\......\MD_DDS.lfp
..............\......\MD_DDS.lso
..............\......\MD_DDS.ncd
..............\......\MD_DDS.ngc
..............\......\MD_DDS.ngd
..............\......\MD_DDS.ngr
..............\......\MD_DDS.pad
..............\......\MD_DDS.par
..............\......\MD_DDS.pcf
..............\......\MD_DDS.prj
..............\......\MD_DDS.sch
..............\......\MD_DDS.spl
..............\......\MD_DDS.stx
..............\......\MD_DDS.sym
..............\......\MD_DDS.syr
..............\......\md_dds.twr
..............\......\md_dds.twx
..............\......\MD_DDS.ucf
..............\......\MD_DDS.udo
..............\......\MD_DDS.unroutes
..............\......\MD_DDS.ut
..............\......\MD_DDS.VHD
..............\......\MD_DDS.xpi
..............\......\MD_DDS.xst
..............\......\MD_DDS_last_par.ncd
..............\......\MD_DDS_map.mrp
..............\......\MD_DDS_map.ncd
..............\......\MD_DDS_map.ngm
..............\......\MD_DDS_pad.csv
..............\......\MD_DDS_pad.txt
..............\......\MD_DDS_summary.html
..............\......\MD_DDS_TEST.vhd
..............\......\MD_DDS_TEST_vhd.fdo
..............\......\MD_DDS_TEST_vhd.udo
..............\......\ram1024_8.vhd
..............\......\REG10B.spl
..............\......\REG10B.sym
..............\......\REG10B.VHD
..............\......\REG10_test.VHD
..............\......\REG32B.spl
..............\......\REG32B.sym
..............\......\REG32B.VHD
..............\......\rom1024.vhd
..............\......\rom1024x10.mif
..............\......\rom1024x10.vhd
..............\......\rom_test.vhd
..............\......\transcript
..............\......\Untitled.mcs
..............\......\Untitled.prm
..............\......\Untitled.sig
..............\......\vsim.wlf
..............\......\work\adder10b\behavioral.asm
..............\......\....\........\behavioral.dat
..............\......\....\........\_primary.dat
..............\......\....\.....32b\behavioral.asm
..............\......\....\........\behavioral.dat
..............\......\....\........\_primary.dat
..............\......\....\dds_bench_vhd\behavior.asm
..............\......\....\.............\behavior.dat
..............\......\....\.............\_primary.dat
..............\......\....\.ecoder\behavioral.asm
..............\......\....\.......\behavioral.dat
..............\......\....\.......\_primary.dat
..............\......\....\md_dds\behavioral.asm
    

CodeBus www.codebus.net