Welcome![Sign In][Sign Up]
Location:
Downloads Documents Industry research
Title: new_ifft Download
 Description: verilog code for inverse fft to convert time domain to frequency domain
 Downloaders recently: [More information of uploader RED]
 To Search:
File list (Check if you may need any files):
 

new_ifft\220model.v
........\altera_mf.v
........\cos_twiddle_256rom.v
........\cos_twiddle_32rom.v
........\IFFT.v
........\IFFT.v.bak
........\ifft1.png
........\ifft2.png
........\ifft3.png
........\IMDCT_mul.v
........\im_512ram.v
........\im_64ram.v
........\new_ifft.cr.mti
........\new_ifft.mpf
........\real_512ram.v
........\real_64ram.v
........\sin_twiddle_256rom.v
........\sin_twiddle_32rom.v
........\stratixii_atoms.v
........\test.v
........\top.v
........\vsim.wlf
........\work\@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s\verilog.psm
........\....\..........................................\_primary.dat
........\....\..........................................\_primary.dbs
........\....\..........................................\_primary.vhd
........\....\..............m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n\verilog.psm
........\....\...............................................\_primary.dat
........\....\...............................................\_primary.dbs
........\....\...............................................\_primary.vhd
........\....\...................m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n\verilog.psm
........\....\...........................................................\_primary.dat
........\....\...........................................................\_primary.dbs
........\....\...........................................................\_primary.vhd
........\....\.i@f@f@t\verilog.psm
........\....\........\_primary.dat
........\....\........\_primary.dbs
........\....\........\_primary.vhd
........\....\...m@d@c@t_mul\verilog.psm
........\....\..............\_primary.dat
........\....\..............\_primary.dbs
........\....\..............\_primary.vhd
........\....\.l@p@m_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s\verilog.psm
........\....\....................................\_primary.dat
........\....\....................................\_primary.dbs
........\....\....................................\_primary.vhd
........\....\........h@i@n@t_@e@v@a@l@u@a@t@i@o@n\verilog.psm
........\....\....................................\_primary.dat
........\....\....................................\_primary.dbs
........\....\....................................\_primary.vhd
........\....\........m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n\verilog.psm
........\....\................................................\_primary.dat
........\....\................................................\_primary.dbs
........\....\................................................\_primary.vhd
........\....\.m@f_pll_reg\verilog.psm
........\....\............\_primary.dat
........\....\............\_primary.dbs
........\....\............\_primary.vhd
........\....\.....ram7x20_syn\verilog.psm
........\....\................\_primary.dat
........\....\................\_primary.dbs
........\....\................\_primary.vhd
........\....\.....stratixiii_pll\verilog.psm
........\....\...................\_primary.dat
........\....\...................\_primary.dbs
........\....\...................\_primary.vhd
........\....\.............._pll\verilog.psm
........\....\..................\_primary.dat
........\....\..................\_primary.dbs
........\....\..................\_primary.vhd
........\....\............_pll\verilog.psm
........\....\................\_primary.dat
........\....\................\_primary.dbs
........\....\................\_primary.vhd
........\....\.s@t@r@a@t@i@x@i@i_@p@r@i@m_@d@f@f@e\verilog.psm
........\....\....................................\_primary.dat
........\....\....................................\_primary.dbs
........\....\....................................\_primary.vhd
........\....\alt3pram\verilog.psm
........\....\........\_primary.dat
........\....\........\_primary.dbs
........\....\........\_primary.vhd
........\....\...accumulate\verilog.psm
........\....\.............\_primary.dat
........\....\.............\_primary.dbs
........\....\.............\_primary.vhd
........\....\...cam\verilog.psm
........\....\......\_primary.dat
........\....\......\_primary.dbs
........\....\......\

CodeBus www.codebus.net