Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Windows Develop Other
Title: minimig-de1-master Download
 Description: minimig de1 fpga board
 Downloaders recently: [More information of uploader Alex ]
 To Search:
File list (Check if you may need any files):
FilenameSizeDate
minimig-de1-master
minimig-de1-master\.gitignore
minimig-de1-master\LICENSE
minimig-de1-master\Makefile
minimig-de1-master\README.md
minimig-de1-master\TODO
minimig-de1-master\amiga_sw
minimig-de1-master\amiga_sw\WheelDriver
minimig-de1-master\amiga_sw\WheelDriver\Build68k
minimig-de1-master\amiga_sw\WheelDriver\CompList
minimig-de1-master\amiga_sw\WheelDriver\CompList.c
minimig-de1-master\amiga_sw\WheelDriver\Cx.c
minimig-de1-master\amiga_sw\WheelDriver\Cx.h
minimig-de1-master\amiga_sw\WheelDriver\Main.c
minimig-de1-master\amiga_sw\WheelDriver\MinimigWheelDriver
minimig-de1-master\amiga_sw\WheelDriver\Server.c
minimig-de1-master\amiga_sw\WheelDriver\WheelDriver.c
minimig-de1-master\amiga_sw\WheelDriver\WheelDriver.h
minimig-de1-master\amiga_sw\WheelDriver\_Server.s
minimig-de1-master\amiga_sw\WheelDriver\newmouse.h
minimig-de1-master\bench
minimig-de1-master\bench\cpu_cache_sdram
minimig-de1-master\bench\cpu_cache_sdram\cpu_cache_sdram_tb.v
minimig-de1-master\bench\cpu_cache_sdram\fw
minimig-de1-master\bench\cpu_cache_sdram\fw\test.S
minimig-de1-master\bench\cpu_cache_sdram\tg68_ram.v
minimig-de1-master\bench\ctrl
minimig-de1-master\bench\ctrl\ctrl_tb.v
minimig-de1-master\bench\de1
minimig-de1-master\bench\de1\de1_clk_rst.v
minimig-de1-master\bench\lcd
minimig-de1-master\bench\lcd\lcd_tb.v
minimig-de1-master\bench\minimig
minimig-de1-master\bench\minimig\soc_tb.v
minimig-de1-master\bench\minimig_cpu
minimig-de1-master\bench\minimig_cpu\minimig_cpu_tb.v
minimig-de1-master\bench\ps2mouse
minimig-de1-master\bench\ps2mouse\ps2mouse_ctrl.v
minimig-de1-master\bench\ps2mouse\ps2mouse_tb.v
minimig-de1-master\bench\sdm
minimig-de1-master\bench\sdm\sdm.v
minimig-de1-master\bench\sdm\sdm_tb.v
minimig-de1-master\bench\sram
minimig-de1-master\bench\sram\qmem_master.v
minimig-de1-master\bench\sram\qmem_sram_tb.v
minimig-de1-master\bench\tg68
minimig-de1-master\bench\tg68\tg68_fast_tb.v
minimig-de1-master\bench\tg68k
minimig-de1-master\bench\tg68k\tg68k_fast_tb.v
minimig-de1-master\bench\uart
minimig-de1-master\bench\uart\uart_tb.v
minimig-de1-master\doc
minimig-de1-master\doc\amiga
minimig-de1-master\doc\amiga\Amiga System Memory Map.htm
minimig-de1-master\doc\avnet-spartan3
minimig-de1-master\doc\avnet-spartan3\48LC16M16A2-75.pdf
minimig-de1-master\doc\avnet-spartan3\ADV7123.pdf
minimig-de1-master\doc\avnet-spartan3\AT24C256W.pdf
minimig-de1-master\doc\avnet-spartan3\CY7C1041V33.pdf
minimig-de1-master\doc\avnet-spartan3\DP83846A.pdf
minimig-de1-master\doc\avnet-spartan3\Release Notes.txt
minimig-de1-master\doc\avnet-spartan3\TE28F128J3C-150.pdf
minimig-de1-master\doc\avnet-spartan3\Xilinx Spartan-3 Evaluation Kit - BOM 021904F.pdf
minimig-de1-master\doc\avnet-spartan3\Xilinx Spartan-3 Evaluation Kit - Schematics 021904F.pdf
minimig-de1-master\doc\avnet-spartan3\Xilinx Spartan-3 Evaluation Kit - Terms & Conditions 0鈥?pdf
minimig-de1-master\doc\avnet-spartan3\Xilinx Spartan-3 Evaluation Kit - User's Guide 022304F.pdf
minimig-de1-master\doc\avnet-spartan3\avbus
minimig-de1-master\doc\avnet-spartan3\avbus\communications_memory_module_schematic_112202F.pdf
minimig-de1-master\doc\avnet-spartan3\avbus\communications_memory_module_user_guide_112202.pdf
minimig-de1-master\doc\avnet-spartan3\avbus\flash_exp-sch.pdf
minimig-de1-master\doc\avnet-spartan3\avbus\flash_exp-ug.pdf
minimig-de1-master\doc\avnet-spartan3\avbus\flash_exp.bom.pdf
minimig-de1-master\doc\avnet-spartan3\avbus\sdram_exp-bom.pdf
minimig-de1-master\doc\avnet-spartan3\avbus\sdram_exp-sch.pdf
minimig-de1-master\doc\avnet-spartan3\avbus\sdram_exp-ug.pdf
minimig-de1-master\doc\avnet-spartan3\avbus\sram_exp-bom.pdf
minimig-de1-master\doc\avnet-spartan3\avbus\sram_exp-sch.pdf
minimig-de1-master\doc\avnet-spartan3\avbus\sram_exp-ug.pdf
minimig-de1-master\doc\avnet-spartan3\sp3_1500_eval.ucf
minimig-de1-master\doc\fpga
minimig-de1-master\doc\fpga\cyc2_cii5v1.pdf
minimig-de1-master\doc\fpga\cyclone3_handbook.pdf
minimig-de1-master\doc\mist
minimig-de1-master\doc\mist\pcb.pdf
minimig-de1-master\doc\mist\schematic.pdf
minimig-de1-master\doc\rtc
minimig-de1-master\doc\rtc\Amiga world ... because it is fun and easy! Clock port expander .htm
minimig-de1-master\doc\rtc\Clock port - Wikipedia the free encyclopedia.htm
minimig-de1-master\doc\rtc\Clock port.htm
minimig-de1-master\doc\rtc\OKI MSM6242B.pdf
minimig-de1-master\doc\rtc\a1200clock_1_sm.jpg
minimig-de1-master\doc\rtc\ide64clock_port.pdf
minimig-de1-master\doc\rtc\subway_sw_manual.pdf
minimig-de1-master\fpga
minimig-de1-master\fpga\avnet
minimig-de1-master\fpga\avnet\minimig-avnet.prj
minimig-de1-master\fpga\avnet\minimig-avnet.xise
minimig-de1-master\fpga\avnet\sp3_1500_eval.ucf
minimig-de1-master\fpga\de1
minimig-de1-master\fpga\de1\Makefile

CodeBus www.codebus.net