Welcome![Sign In][Sign Up]
Location:
Search - 交通

Search list

[SCMc交通灯的设计思路

Description:

c语言编的 模拟交通灯程序,正方向,左转方向。


Platform: | Size: 812 | Author: bajinguo | Hits:

[Other基于mapx+vb实现的一个交通行业的系统代码

Description:

基于mapx+vb实现的一个交通行业的系统代码


Platform: | Size: 1121284 | Author: wjf0809 | Hits:

[Other交通查询

Description: VC编写的交通查询软件
Platform: | Size: 269630 | Author: esue181 | Hits:

[Embeded-SCM Develop交通灯源码

Description: 实现交通灯的功能。
Platform: | Size: 1084 | Author: ahnushe | Hits:

[transportation applications交通诱导系统

Description: 杭州交通导航系统
Platform: | Size: 48934 | Author: slzhou | Hits:

[Mathimatics-Numerical algorithms交通灯管理

Description: 多岔路口的交通灯管理 visual c++语言实现。
Platform: | Size: 3411735 | Author: yemingzhu5555 | Hits:

[SourceCode交通灯仿真加图

Description: 交通灯,我们课程设计做的
Platform: | Size: 61229 | Author: zhao1989626 | Hits:

[VHDL-FPGA-VerilogFPGA-verilog-交通灯

Description: 采用verilog编写的代码,用FPGA实现交通灯控制,包含有数码管显示控制,倒计时控制,状态机等,是练习Verilog代码编写的一个很好的实例!
Platform: | Size: 2142 | Author: hangman_102@126.com | Hits:

[SCM交通灯模拟控制系统程序

Description: 一个汇编语言写的交通灯控制系统源程序
Platform: | Size: 556 | Author: l_fchun | Hits:

[SCM交通灯(数字计时)

Description: 交通灯(数字计时1)的proteus仿真电路及C语言程序设计
Platform: | Size: 73896 | Author: fionath | Hits:

[SourceCode交通灯 VHDL

Description: 交通灯 VHDL EDA
Platform: | Size: 29184 | Author: princess7ing | Hits:

[matlab交通路网分配计算程序

Description: 本程序实现了交通规划中交通分配的计算,程序中内置四种模式:1为采用线性时间-流量函数按UE计算;2为线性时间-流量函数按SO计算;3为BPR型时间-流量函数,按UE计算;4为BPR型时间-流量函数,按SO计算
Platform: | Size: 1748 | Author: zhanzxy5 | Hits:

[SourceCode51单片机 四路交通灯 数码管显示

Description: 51单片机 四路交通灯 数码管显示 C语言编写 protues仿真
Platform: | Size: 360372 | Author: jiangminjun | Hits:

[SourceCode交通灯控制器设计

Description: (一) 设计交通灯控制的软硬件,实现交通灯的控制。 (二) 交通灯的红、绿、黄灯亮灯时间可以通过键盘设定。 (三) 交通灯控制要有直道、弯道及非机动车的通行、停止控制。 (四) 在某一方向通车或停车时,要有动态的指示,消除司机的烦躁情绪。 (五) 红灯停、绿灯行、黄灯停止未过线的机动车和停止方向的预启动。 (六) 黄灯亮的时间不可改变,预定5秒钟。
Platform: | Size: 69089 | Author: huangyugui008@163.com | Hits:

[SourceCode汇编数显大型交通灯控制

Description: 为大型交通灯控制(双向六车道外加人行道),程序说明详细,值得参考 ;程序实现功能 ;西南北路口直行与转弯交替通行,数码管显示直行通行倒计时,红绿黄灯显示包括人行道在内的道路交通状态。 ;某一方向道路拥挤时,可以人工控制调节东西南北方向通行时间。 ;紧急情况时,各路*通灯显示红灯,数码管保持数据不变。
Platform: | Size: 56166 | Author: qidefan | Hits:

[Documents交通灯VHDL

Description: 相关知识 本实验要设计实现一个十字路口的交通灯控制系统,与其他控制系统一样,本系统划分为控制器和受控电路两部分。控制器使整个系统按设定的工作方式交替指挥双方向车辆通行,并接收受控部分的反馈信号,决定其状态转换方向及输出信号,控制整个系统的工作过程。 路*通灯控制系统的有东西路和南北路交通灯 R(红)、Y(黄)、G(绿)三色,所有灯均为高电平点亮。设置20s 的通行时间和5s 转换时间的变模定时电路,用数码管显示剩余时间。提供系统正常工作/复位和紧急情况两种工作模式。
Platform: | Size: 229376 | Author: 157684058@qq.com | Hits:

[Windows Develop十字路口交通灯控制器

Description: 十字路口交通灯控制器,是课程的结课设计报告,自己写的verilog语言,在quartus ii环境下仿真,具有参考意义。
Platform: | Size: 300048 | Author: hyh110120119@163.com | Hits:

[Other交通灯

Description: 交通灯的设计开发。
Platform: | Size: 457379 | Author: mt3925 | Hits:

[Documents交通灯控制器的设计(综合设计实验)

Description: 交通灯控制器的设计(综合设计实验)
Platform: | Size: 330752 | Author: mt3925 | Hits:

[VHDL-FPGA-Verilog交通灯控制器

Description: 很久以前自己写的VHDL实现的交通灯控制器~ 动态数码管控制。altera平台
Platform: | Size: 334706 | Author: congyong1988 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 50 »

CodeBus www.codebus.net