Welcome![Sign In][Sign Up]
Location:
Search - 4 DIGIT DIGITAL TUBE

Search list

[SCMCalculator

Description: 本设计是16键盘简易计算器,利用一片AT89C51单片机,其并行口外接4×4矩阵式键盘,和八位七段共阴极LED数码管。 系统的功能是:(1)模拟的计算器能显示8位数字,开机运行时,只显示最低位为“0”,其余不显示;(2)4×4键盘分别表示:0到9,+,-,×,/,=,CL;(3)第一次按下,显示“D1”,第二次按下,显示“D1D2”,第三次按下,显示“D1D2D3”…8个全显示完毕,再次按下按键时,给出 “嘀”的提示音;(4)可以对计算结果小于256的两个无符号数进行加、减、乘、除运算。-The design is simple calculator keyboard 16, using an AT89C51 single-chip, the external parallel port 4 × 4 matrix keyboard, and a total of eight team respectively cathode LED digital tube. The function of the system are: (1) analog calculator can display 8-digit run-time boot, only showed that the minimum bit to
Platform: | Size: 128000 | Author: 李玲玲 | Hits:

[OtherZLG7290

Description: zlg7290基于IIC接口键盘及LED驱动器 1 I C 串行接口 提供键盘中断信号 方便与处理器接口 2 可控扫描位数 可控任一数码管闪烁-zlg7290 based on the IIC Interface Keyboard and LED drive 1 IC serial interface to provide the keyboard interrupt signal processor interface to facilitate comparison with the 2-digit controllable controllable scan any digital tube flashing
Platform: | Size: 260096 | Author: yjj | Hits:

[Windows Develop00till99count

Description: (1. 单片机对按键的识别的过程处理 (2. 单片机对正确识别的按键进行计数,计数满时,又从零开始计数; (3. 单片机对计的数值要进行数码显示,计得的数是十进数,含有十位和个位,我们要把十位和个位拆开分别送出这样的十位和个位数值到对应的数码管上显示。如何拆开十位和个位我们可以把所计得的数值对10求余,即可个位数字,对10整除,即可得到十位数字了。 (4. 通过查表方式,分别显示出个位和十位数字。 -(1. Single-chip identification of the key process of dealing with (2. Single-chip to identify the correct keys to count, count full, and start from scratch count (3. Of the value of single-chip digital to show that the number of a decimal number, with 10 and a bit, we would place 10 months apart and were sent this and a 10-bit values to corresponding digital tube display. how to break 10 bit and a bit we can get the total order value of more than 10 can be a single-digit to 10 divisible, to be 10 figures. (4. the way through the look-up table, respectively, showed a 10-bit and digits.
Platform: | Size: 106496 | Author: wu | Hits:

[SCMclock-mega8_4bit-7se

Description: 4位7段数码管电子钟C语言源文件,使用ICC开发,单片机为ATmega8,详细接口定义见注释-4-digit 7-segment digital tube digital clock C language source files, use the ICC development of SCM as ATmega8, detailed interface definitions, see note
Platform: | Size: 24576 | Author: wanghao | Hits:

[Embeded-SCM Developinclude

Description: 用4×4组成0-9数字键及确认键。 用8位数码管组成显示电路提示信息,当输入密码时,只显示“8.”,当密码位数输入完毕按下确认键时,对输入的密码与设定的密码进行比较,若密码正确,则门开,此处用LED发光二极管亮一秒钟做为提示,同时发出“叮咚”声;若密码不正确,禁止按键输入3秒,同时发出“嘀、嘀”报警声;若在3秒之内仍有按键按下,则禁止按键输入3秒被重新禁 -4 × 4 form with the number keys 0-9 and confirmation button. With 8-bit digital tube display circuit composed of tips, when to enter a password, it only displays " 8." , When finished press Enter to confirm password-digit key, enter the password for the password to compare with the settings, if the password is correct, then the door opened here with a second light-emitting diode LED as a prompt at the same time issued a " ding-dong" sound if the password is not correct to prohibit the importation of key 3 seconds at the same time issued a " tick, tick" sound alarm if the there within 3 seconds of the button is pressed, then the ban was re-key input 3 seconds prohibited.
Platform: | Size: 6144 | Author: 于哥 | Hits:

[VHDL-FPGA-VerilogLed_7Seg

Description: 4位7段数码管的VHDL程序,6MHz晶振-4-digit 7-segment digital tube VHDL program, 6MHz Crystal
Platform: | Size: 208896 | Author: ye shuai | Hits:

[Documents4

Description: 用STARES598PCI单板开发机设计一个应用接口芯片作为四个七段LED数码管的输出口,编写一段程序,使8个LED数码管依次闪烁左移显示从输入口的逻辑开关读入的4位数字,闪烁频率为每秒一次。其外,还需实现一些附加功能,比如:可控制左移还是右移;可重新输入另外一个四位数;输入时可回显;以及输入时的十六进制到十进制的转换的等问题。 由此可见,要实现以上的功能,需要8个7段LED数码管和逻辑开关,闪烁的频率为1s。从开关读数,用LED显示。 -Machine Design STARES598PCI veneer developed with an application interface chip as the four seven-segment LED digital tube output, write a procedure so eight left blinking LED digital tube displays turn the logic of switching from the input port read 4-digit , flicker frequency of once per second. Besides, need to realize some additional features, such as: can control the left or a shift to the right can re-enter another one four-digit number input to echo and hexadecimal input to decimal conversion and other issues . Thus, to achieve the above functionality, you need eight 7-segment LED digital control and logic switches, blinking frequency of 1s. Readings from the switch, with LED display.
Platform: | Size: 103424 | Author: | Hits:

[assembly languageDigitalflashingdisplays

Description: 用STARES598PCI单板开发机设计一个应用接口芯片作为四个七段LED数码管的输出口,编写一段程序,使8个LED数码管依次闪烁左移显示从输入口的逻辑开关读入的4位数字,闪烁频率为每秒一次。其外,还需实现一些附加功能,比如:可控制左移还是右移;可重新输入另外一个四位数;输入时可回显;以及输入时的十六进制到十进制的转换的等问题。-Machine Design STARES598PCI veneer developed with an application interface chip as the four seven-segment LED digital tube output, write a procedure so eight left blinking LED digital tube displays turn the logic of switching from the input port read 4-digit , flicker frequency of once per second. Besides, need to realize some additional features, such as: can control the left or a shift to the right can re-enter another one four-digit number input to echo and hexadecimal input to decimal conversion and other issues .
Platform: | Size: 102400 | Author: 苏鸿飞 | Hits:

[SCMshumaguan

Description: 这是一个用pic系列单片机16F877A编写的C语言程序,实现的功能是数码管动态显示4位数字自动加,对于初学PIC单片机的人很有帮助。-This is a single chip with pic 16F877A program written in C language to realize the function of dynamic digital tube display 4-digit automatic, for beginners who PIC MCU helpful.
Platform: | Size: 11264 | Author: 谢运军 | Hits:

[SCMkeyboardanddigitaldisplayformofelectroniclock

Description: 用4×4组成0-9数字键及确认键。 用8位数码管组成显示电路提示信息,当输入密码时,只显示“8.”,当密码位数输入完毕按下确认键时,对输入的密码与设定的密码进行比较,若密码正确,则门开,此处用LED发光二极管亮一秒钟做为提示,同时发出“叮咚”声;若密码不正确,禁止按键输入3秒,同时发出“嘀、嘀”报警声;若在3秒之内仍有按键按下,则禁止按键输入3秒被重新禁止。 -Composed of 0-9 with 4 × 4 number keys and confirm key. Formed with 8-bit digital tube display circuit prompts when a password, only show "8.", When finished press Enter to confirm the password digit key, enter the password on the password and compare, if the password is correct , then the door opened, light emitting diode LED used here as a prompt for a second, also issued "Ding Dong" sound If the password is not correct, against key input for 3 seconds, also issued a "tick, tick" sound alarm if in 3 seconds still pressing the button, then the ban button for 3 seconds to be re-importation ban.
Platform: | Size: 17408 | Author: 来了 | Hits:

[SCMMCU-keyboard.and.led-display

Description: 通过键盘设置4位LED数码管显示不同的两位数,键盘可以实现加减,数码管动态扫描。-4 bit LED digital tube dispay double-digit through seting the keyboard,and could modified,the digital tube display dynamically.
Platform: | Size: 10240 | Author: 林俊 | Hits:

[SCMLED-digital-tube-drive-circuit

Description: 关于多位数大尺寸高亮度低成本LED数码管驱动电路的设计-On multi-digit low-cost large-size high-brightness LED digital tube drive circuit
Platform: | Size: 178176 | Author: czz | Hits:

[VHDL-FPGA-Verilogtlc5620dac

Description: 利用状态机实现对tlc5620dac控制,实验时按key1,可选择DAC的通道,数码管1显示,按key2,key3可 输入8位数/模转换值,由数码管3,4显示,按key4,选择输出电压模式,由数码管8显示,0表示1倍,1表示2倍,按key5,将当前数据发送到DAC模块启动一次DA转换,-State machine implementation control on tlc5620dac, press key1 experiment, you can choose the DAC channels, digital tube display key2, key3 Enter the 8 digit/analog conversion value by 3,4 of a digital control display, press key4 output voltage mode, the digital tube display, 0 to 1 times 1 to 2 times press ^ key5, the current data is sent to the DAC module to initiate a DA converter.
Platform: | Size: 675840 | Author: 黄远豪 | Hits:

[Othermimasuo

Description: 单片机实现的密码锁,功能如下:1:用4×4矩阵键盘组成0-9数字键及确认键和删除键。 2:可以自行设定或删除8位密码,能够掉电保存。 3:用5位数码管组成显示电路提示信息,当输入密码时,只显示“8.”,当密码位数输入完毕按下确认键时,对输入的密码与设定的密码进行比较,若密码正确,则门开,此处用绿色led发光二极管亮一秒钟做为提示,若密码不正确,禁止按键输入3秒,同时用红色led发光二极管亮三秒钟做为提示;若在3秒之内仍有按键按下,则禁止按键输入3秒被重新禁止。 -MCU password lock function is as follows: 1: 44 matrix keyboard 0-9 keys and the Enter key and the delete key. 2: You can set or delete the password of 8 can power down to save. 3: five digital tube display circuit message, enter a password, only 8 when the password-digit input is complete press the Enter key, enter the password and the password to compare, if password is correct, then the door opened here with a green led light-emitting diode bright for a second as a prompt if the password is incorrect, the Prohibition of the key input for 3 seconds, the red led LED lights for three seconds as the prompt in 3 seconds there are still button is pressed, no key input to three seconds to re-ban.
Platform: | Size: 56320 | Author: 罗宇 | Hits:

[Compress-Decompress algrithms15_tlc5620dac

Description: 利用状态机实现对tlc5620dac控制,实验时按key1,可选择DAC的通道,数码管1显示,按key2,key3可 输入8位数/模转换值,由数码管3,4显示-State machine implementation control on tlc5620dac, press key1 experiment, you can choose the DAC channels, digital tube display, press key2, key3 can enter the 8 digit A/D conversion value, digital tube 3,4
Platform: | Size: 687104 | Author: mei@qiu@jun | Hits:

[SCMmimasuo

Description: 用4×4组成0-9数字键及确认键。 用8位数码管组成显示电路提示信息,当输入密码时, 只显示“8.”,当密码位数输入完毕按下确认键时,对输入的密码与设定的密码进行比 较,若密码正确,则门开,此处用LED发光二极管亮一秒钟做为提示,同时发出“叮” 声;若密码不正确,禁止按键输入3秒,同时发出“嘀、嘀”报警声;若在3秒之内仍有 按键按下,则禁止按键输入3秒被重新禁止。-With 44 0-9 number keys and the Enter key. The composition of the eight digital tube display circuit message, enter a password, the only " 8." , Press the Enter key when the password digit input is completed, enter the password and set the password to compare, if the password is correct , the door opened, here LED light-emitting diode light for a second as prompted, also issued a " ding" sound if the password is incorrect, Disable keyboard 3 seconds, also issued a " beep, beep" alarm sound 3 seconds within the still button is pressed, the three seconds re prohibit Disable keyboard.
Platform: | Size: 77824 | Author: 刘涛 | Hits:

[OtherCH452

Description: CH452 是数码管显示驱动和键盘扫描控制芯片。CH452 内置时钟振荡电路,可以动态驱动 8 位数 码管或者 64 只 LED,具有 BCD 译码、闪烁、移位、段位寻址、光柱译码等功能;同时还可以进行 64 键的键盘扫描;CH452 通过可以级联的 4 线串行接口或者 2 线串行接口与单片机等交换数据;并且可 以对单片机提供上电复位信号。-CH452 digital tube display driver and the keyboard scan control chip. CH452 built-in clock oscillation circuit, eight digit code can be dynamically driven tube or LED, only 64 BCD decoding, flicker, shift, paragraph a decoding function such as addressing, beam At the same time also can undertake 64- key keyboard scanning CH452 through can cascade four wire serial interface or the 2-wire serial interface exchange data with MCU, etc And to provide electricity for microcontroller reset signal.
Platform: | Size: 307200 | Author: 黄华诚 | Hits:

[VHDL-FPGA-VerilogDigital-Password-Lock

Description: 数字密码锁具体要求如下: 1. 系统密码设置使用拨位开关sw[7:0],限定为4位密码;sw[7:6]、sw[5:4]、sw[3:2]、sw[1:0]分别对应从左到右密码的第1、2、3、4位;每一位的取值范围限定在0、1、2三个数中。 2. 用btn[2:0]作为输入键,btn[0]、btn[1]、btn[2]分别对应的有效输入为十进制数0、1、2(由于btn数有限,系统不支持解锁含有数字3的密码)。 3. 输入的密码显示在7段数码显示管对应位上,顺序为从左至右,未输入密码时数码管不显示数字,只有对应位输入密码后数码管才点亮。 4. 输入密码与预存密码相同时,开锁成功,LED灯ld[7]亮起;否则开锁失败,ld[0]亮起。 5. 具有一个复位按键btn[3]。按键后,回到初始状态。 -Digital lock specific requirements are as follows: 1. The system password is set using DIP switches sw [7: 0], is limited to four passwords sw [7: 6], sw [5: 4], sw [3: 2], sw [1: 0], respectively left to right 1,2,3,4 digit password every one of the range is limited to three numbers 0,1,2. 2. Use btn [2: 0] as input keys, btn [0], btn [1], btn [2] corresponding to each active input as a decimal number 0,1,2 (due to the limited number btn, the system does not support the unlock 3 containing numeric password). 3. Enter the password displayed on the 7-segment display tubes corresponding bit on, the order left to right, did not enter a password when no digital display numbers, enter the password only after the corresponding bit digital tube was lit. 4. Enter the same password stored password, unlock success, LED lights ld [7] is lit otherwise the lock fails, ld [0] is illuminated. 5. With a reset button btn [3]. After the keys back to the initial state.
Platform: | Size: 5120 | Author: 刘东辉 | Hits:

[SCM单片机实验4报告

Description: 单个共阳数码管如图5-1所示,用8位杜邦线将并行口P1与J6相连,在数码管上循环显示0~9。每个数字显示1S。定时使用延时程序。(A single anode digital tube as shown in Figure 5-1, the parallel port P1 is connected to J6 with 8 DuPont line, in the digital tube display cycle 0~9. Each digit shows 1S. Timed delay program.)
Platform: | Size: 9216 | Author: wangzi2 | Hits:

[Other电路实验

Description: 实验一四位拨码开关控制显示数字0000~FFFF 实验二拨码开关控制数字0~F循环显示 实验三二位数码管循环显示数字00~FF 实验四二位数码管循环显示数字00~99(Experiment 1 Four-bit Dial Switch Control Display Digital 0000~FFFF Digital 0~F Cyclic Display Controlled by Experiment 2 Dial Switch Cyclic Display Digital 00~FF of Tri-Binary Digital Tube in Experiment Experiment 4-2-digit digital tube circular display number 00-99 (optional))
Platform: | Size: 836608 | Author: sidwong | Hits:
« 12 »

CodeBus www.codebus.net