Welcome![Sign In][Sign Up]
Location:
Search - 7 SEGMENT a

Search list

[Other Embeded program华恒

Description: 华恒嵌入式的培训的资料,里面有包括很多的pdf文档,而且非常详细,大家可以参考一下。-huaheng embedded training, and there are many, including the pdf documents, but very detailed, we can take a look.
Platform: | Size: 4041728 | Author: 麦伟强 | Hits:

[VHDL-FPGA-Veriloghourse_race_light(7seg)

Description: 这是我用Xilnx公司的sparten3 FPGA开发板上,用集成开发环境ISE设计制作的一个跑马灯程序,就如同一个小型的霓虹灯。供大家参考。-This is the company I used Xilnx the sparten3 FPGA development board. use integrated development environment ISE design of a Bomadeng procedures, it is like a small neon lights. For your reference.
Platform: | Size: 7168 | Author: 汪莉莉 | Hits:

[assembly languagesegment7

Description: 7段led的源碼 來源不知 供大家參考-Paragraph 7 of the source led sources for your information I do not know
Platform: | Size: 1024 | Author: roy | Hits:

[SCMA_digita_clock_made_by_Microchip

Description: 本次设计中以单片机的发展过程和发展方向为背景,介绍了单片机的输入输出的工作原理和操作方法,中断的工作原理和操作方法。4511的工作原理和操作方法,LED的内部结构。电路设计及调试过程。 本次做的数字钟是以单片机(AT89C51)为核心,结合相关的元器件(共阴极LED数码显示器、BCD-锁存/7段译码/驱动器CC4511等),再配以相应的软件,达到制作简易数字钟的目的,其硬件部分难点在于元器件的选择、布局及焊接。 -The design of a single-chip development process and the development direction for the background, introduced the single-chip input and output of the working principle and method of operation, interruption of the working principle and method of operation. 4511 the working principle and method of operation, LED s internal structure. Circuit design and debugging process. To do this is based on single-chip digital clock (AT89C51) as the core, combined with related components (common cathode LED digital display, BCD-latch/7 segment decoder/driver CC4511, etc.), together with the corresponding software to create simple digital clock The purpose of the hardware part of the difficulties lies in the choice of components, layout and welding.
Platform: | Size: 1253376 | Author: thocr | Hits:

[VHDL-FPGA-Verilogshuzixitongshiyan

Description: 这个给QuartusII初学者用的,里面很清楚的通过几个例子来告诉怎么运用QuartusII. 实验1:Quartus入门 实验2:简单的组合逻辑电路设计 实验3:七段数码管显示 实验4:BCD码显示及运 实验5:触发器和计数器 实验6:存储器的设计 实验7:基于DE2 的SOPC系统开发附录:-This QuartusII beginners to use, which is very clear through several examples to tell how the use of QuartusII. Experiment 1: Quartus entry Experiment 2: a simple combinational logic circuit design of experiment 3: Seven-Segment LED display experiment 4: BCD code display and shipped experiment 5: flip-flops and counters experiment 6: the design of memory test 7: Based on DE2 the SOPC System Development Appendix:
Platform: | Size: 754688 | Author: yulieyar | Hits:

[CSharp7Segmento

Description: This program will control a 7 segment leds by the parallel port it is written in c#
Platform: | Size: 72704 | Author: tatooo | Hits:

[SCMLABORS_4

Description: Developed for use with MSP430x22x4 MCU (2274). Made with Code Composer Essentials v3.1. Code for educational use. Working with 7-segment LCD on educational board. MSP430FG464 MCU used.Shows how to define segments and put out information on display. It shows a clock algorithm. Timing is not calibrated (mins, sec).
Platform: | Size: 20480 | Author: ibanez2006 | Hits:

[OtherClock

Description: --1.实体和函数的定义 --2.自动计时部分 --3.设置调时时的四种状态:a.不调时,b.调时位,c.调分位,d.调秒位 --4.设置闪烁的位置,调哪部分,哪部分闪烁 --5.将该闪烁的部分执行闪烁命令 --6.调时间,小时,分钟,秒的调时进程 --7.用元件BCD把小时位,分钟位和秒位三部分连接在一起 --8.设置时区***该部分为选做,程序中已经注释掉*** --9.设置闹铃***该部分为选做,程序中暂时没写*** --10.设置7段数码管的显示位置 --11.数码管显示-- 1. Entities and function definitions - 2. Automatic timing part of it - 3. Set the tone from time to time of the four states: a. not transfer, when, b. when the transfer bit, c. transfer sub-spaces, d. tone seconds bit- 4. to set the location of blinking, adjusting which part, which part of the flashing- 5. the flashing part of the implementation of the flashing command- 6. transfer time, hours, minutes, seconds, when the process of adjustment- 7 . using devices to hours-bit BCD, minutes, seconds bit and bit three parts together- 8. set the time zone*** to do that part for the election, the program has been commented out***- 9. Set alarm*** This part is selected to serve as the program for the moment I did not write***- 10. set the 7-segment digital tube display position- 11. nixie tube display
Platform: | Size: 4096 | Author: wvqyd | Hits:

[VHDL-FPGA-Verilogpaobiao

Description: 基于Verilog HDL的完整数字跑表工程,在试验机台上运行验证通过了的。 用8位7段数码管分别显示微妙,秒,分。 有开始,暂停,复位功能。 学习VerilogHDL的经典例子,添加了显示功能。-Complete Verilog HDL-based digital stopwatch works in the test machine is running verify pass the platform. With 8-bit 7-segment digital tube showed the delicate, seconds, minutes. Has started, pause, reset. Learning VerilogHDL classic example of adding a display.
Platform: | Size: 571392 | Author: alvin | Hits:

[matlab7-segment

Description: 一个7段的显示器,及按一定顺序循环得到的结果,可重复使用-A 7-segment display, and by a certain sequence and cycle the results obtained can be reused
Platform: | Size: 312320 | Author: xiaoyu | Hits:

[ELanguageSeven-segment-display-decoder

Description: 七段显示译码器 因为计算机输出的是BCD码,要想在数码管上显示十进制数,就必须先把BCD码转换成 7 段字型数码管所要求的代码。我们把能够将计算机输出的BCD码换成 7 段字型代码,并使数码管显示出十进制数的电路称为“七段字型译码器”。 -Seven-segment display decoder because the computer output is BCD code, in order to display in the digital tube decimal number, it must first convert the BCD code fonts 7 segment digital pipes required by code. We can replace the computer output, 7 segment BCD code font code, and make the digital control circuit shows a decimal number called the " Seven-Segment decoder fonts."
Platform: | Size: 3072 | Author: jlz | Hits:

[VHDL-FPGA-Verilogpart2

Description: Implement a 3-digit BCD counter. Display the contents of the counter on the 7-segment displays, HEX2− 0. Derive a control signal, from the 50-MHz clock signal provided on the DE2 board, to increment the contents of the counter at one-second intervals. Use the pushbutton switch KEY0 to reset the counter to 0.
Platform: | Size: 552960 | Author: echo | Hits:

[VHDL-FPGA-Verilogcompteur_7seg

Description: vhdl program of a counter with a 7 segment display
Platform: | Size: 406528 | Author: salah | Hits:

[SCM7-segment

Description: In this project two 7-segment LED displays are connected to PORT of a PIC16F877 type microcontroller. The program displays the number in variable on the displays-In this project two 7-segment LED displays are connected to PORT of a PIC16F877 type microcontroller. The program displays the number in variable on the displays
Platform: | Size: 55296 | Author: dolly chan | Hits:

[SCM7seg_7219

Description: 利用7219进行7段数码管显示,有单片机Proteus仿真图,有80C51的仿真代码,在Proteus中可是如同真实的开发板上一样用数码管显示出数字。-Use 7219 for 7-segment display, a microcontroller Proteus simulation map, there 80C51 simulation code, as in Proteus, but the real development board with digital display, like a number.
Platform: | Size: 45056 | Author: | Hits:

[ELanguage7-segment-LED-display

Description: 74LS49 是一个BCD码——7段LED显示译码器,它具有四位二进制输入信号bi(3)到bi(0)和熄灭信号b1_n,以及7段LED驱动信号a,b,c,d,e,f,g-74LS49 is a BCD code- 7 segment LED display decoder, it has four binary input signal bi (3) bi (0) and extinguished signal b1_n, and 7-segment LED drive signals a, b, c, d e, f, g
Platform: | Size: 1024 | Author: smith | Hits:

[LabView7-Segment

Description: 一个NI labview实现的7段数码管程序原代码-A NI labview achieve the 7-segment program source code .....
Platform: | Size: 408576 | Author: lvoids | Hits:

[Other7-segment-LED-display

Description: This a program of how to drive a 7 segment LED display. [Arduino]-This is a program of how to drive a 7 segment LED display. [Arduino]
Platform: | Size: 2048 | Author: Ahmed | Hits:

[SCMVoltmeter with 7 segment

Description: a voltmeter with pic and 7 segment
Platform: | Size: 86016 | Author: sayed88 | Hits:

[VHDL-FPGA-VerilogTo display number on 7 Segment display

Description: Here is a code To display number on 7 Segment display in verliog.
Platform: | Size: 340 | Author: Anurag0253 | Hits:
« 12 3 4 5 6 7 8 9 10 »

CodeBus www.codebus.net