Welcome![Sign In][Sign Up]
Location:
Search - FPGA,QPSK

Search list

[Other基于FPGA的调制,实现了QPSK调制

Description: 基于FPGA的调制
Platform: | Size: 1066 | Author: wangren231147@126.com | Hits:

[VHDL-FPGA-Verilogmodulation

Description: 基于FPGA的调制,实现了QPSK调制,所用芯片为Artera的CycloneIIEp2C5T114C8-FPGA-based modulation, realize the QPSK modulation, the chip used for Artera
Platform: | Size: 1024 | Author: liqijun | Hits:

[VHDL-FPGA-VerilogPL_MPSK

Description: 基于VHDL硬件描述语言,对基带信号进行MPSK调制(这里M=4),即QPSK调制-VHDL hardware description language based on the base-band signal MPSK modulation (here M = 4), namely, QPSK modulation
Platform: | Size: 1024 | Author: 王勇 | Hits:

[VHDL-FPGA-Verilogpsk

Description: 利用VHDL语言实现在,altera 公司的cyclone芯片上实现数字信号的2psk调制解调功能-The use of VHDL language to achieve, altera s cyclone chip digital signal modulation and demodulation functions 2psk
Platform: | Size: 293888 | Author: 叶峰 | Hits:

[Communication-MobileXilinx-FPGA-Matlab-Simulate

Description: Xilinx的FPGA 中的matlab simulink建模,内有几种调制方式,比如QPSK等-Xilinx
Platform: | Size: 228352 | Author: 中山太乙 | Hits:

[VHDL-FPGA-VerilogFPGAQPSK

Description: 文中介绍了QPSK调制解调的原理,并基于FPGA实现了QPSK调制解调电路。MAX+PLUSII环境下的仿真结果表明了该设计的正确性。 -In this paper, the principle of QPSK modulation and demodulation, and a FPGA-based QPSK modulation and demodulation circuit. MAX+ PLUSII environment simulation results show the correctness of the design.
Platform: | Size: 40960 | Author: 杨杨 | Hits:

[VHDL-FPGA-VerilogQPSK

Description: OFDM系统中的QPSK调制,实用verilog编程,用于FPGA设计-for ofdm system
Platform: | Size: 1024 | Author: 周美红 | Hits:

[3G developcostas_carrier_recover

Description: 基于硬件定点的完整的costas载波恢复环设计,FPGA设计可以用之参考。包括输入QPSK信号,16倍符号率采样,初始频差2.4KHz,以及低通滤波器的设计等待。最重要的是有本人的注释,易于上手。-Hardware-based fixed-point of complete costas carrier recovery loop design, FPGA reference design can be used. Including input QPSK signal, 16 times the symbol rate sampling, the initial frequency difference 2.4KHz, and the design of low-pass filter to wait. The most important thing is to have my notes, easy to fly.
Platform: | Size: 2048 | Author: luoshuwen | Hits:

[Software EngineeringQPSK

Description: QPSK调制器的FPGA实现,提出了一种基于FPGA实现QPSK的方法-QPSK Modulator FPGA, presents a FPGA-based QPSK method of achieving
Platform: | Size: 238592 | Author: shyxp | Hits:

[VHDL-FPGA-Verilogmodule_dem

Description: 用verilog编写的信号调制解调程序,包括ask,fsk,qpsk的fpga实现-Prepared using verilog signal modulation and demodulation process, including ask, fsk, qpsk of fpga implementation
Platform: | Size: 6068224 | Author: yu | Hits:

[OtherQPSK

Description: 通过MATLAB对QPSK进行仿真,再在FPGA中实现QPSK的基本功能-By MATLAB simulation of QPSK, and then in the FPGA to implement the basic functions of QPSK
Platform: | Size: 4096 | Author: 洪依 | Hits:

[Software EngineeringQPSK

Description: 用FPGA实现QPSK调制的4篇论文,对用FPGA开发QPSK很有帮助!-QPSK modulation with FPGA Implementation of 4 papers on the use of FPGA development QPSK helpful!
Platform: | Size: 852992 | Author: FPGA_VHDL | Hits:

[Software EngineeringO_QPSK

Description: 用FPGA开发O_QPSK的5篇论文,对开发O_QPSK很有帮助!-Using FPGA development O_QPSK of five papers on the development of O_QPSK helpful!
Platform: | Size: 1253376 | Author: FPGA_VHDL | Hits:

[VHDL-FPGA-Verilogqpsk

Description: qpsk调制解调的FPGA实现。QPSK为调制程序,QPSK-two为解调程序。-qpsk modulation and demodulation of the FPGA. QPSK as the modulation process, QPSK-two for the demodulation process.
Platform: | Size: 1024 | Author: 张维 | Hits:

[ELanguageQPSK_modulator_demodulator

Description: Wireless_Communication_FPGA设计代码之一:QPSK调制解调的FPGA实现 将相应的源文件复制到本地硬盘上,修改属性为可写,然后在ISE环境中新建工程,然后添加相应的源文件即可。-Wireless_Communication_FPGA one of the design code: QPSK modulation and demodulation of the FPGA to achieve the corresponding source files to local hard disk, modify the property is writable, then in the ISE environment, new construction, and then add the appropriate source files.
Platform: | Size: 1024 | Author: 松松 | Hits:

[VHDL-FPGA-VerilogFPGA_QPSK

Description: 基于FPGA的QPSK调制解调器的设计,很不错!-FPGA-based QPSK modem design, very good!
Platform: | Size: 1214464 | Author: 张大龙 | Hits:

[VHDL-FPGA-VerilogQPSK

Description: 基于FPGA的QPSK实现调制解调,主程序,测试程序都有-FPGA-based implementation of QPSK modulation and demodulation, the main program, the test program has
Platform: | Size: 1442816 | Author: 卢梦明 | Hits:

[Otherfpga-and-qpsk

Description: 这是一个基于FPGA实现的QPSK调制解调文件,可以借鉴-This is an FPGA-based realization of QPSK modulation and demodulation file, you can learn
Platform: | Size: 205824 | Author: 陶文祥 | Hits:

[Program docQPSK-by-FPGA

Description: 基于FPGA的QPSK调制与解调的实现,使用VHDL语言编写-Implementation of FPGA modulation and demodulation based on QPSK, using VHDL language
Platform: | Size: 1362944 | Author: wisdom | Hits:

[VHDL-FPGA-VerilogQPSK调制解调器的设计及FPGA实现

Description: QPSK FPGA的实现,QPSK调制解调器的设计及FPGA实现(Design and implementation of QPSK modem based on FPGA)
Platform: | Size: 6838272 | Author: muweng | Hits:
« 12 3 »

CodeBus www.codebus.net