Welcome![Sign In][Sign Up]
Location:
Search - FPGA 1602

Search list

[Other resourcefpga+1602

Description: 本程序用VHDL语言编程实现FPGA对点阵液晶1602的驱动
Platform: | Size: 1676 | Author: pdu | Hits:

[VHDL-FPGA-Verilog1602的FPGA控制

Description: 利用Altera的FPGA控制1602的模块
Platform: | Size: 799557 | Author: qiuwangsha | Hits:

[VHDL-FPGA-Veriloglcd_controller

Description: CFAH1602BNYAJP液晶的fpga控制程序-CFAH1602BNYAJP they simply control procedures
Platform: | Size: 2048 | Author: 陈世利 | Hits:

[VHDL-FPGA-Verilogbox

Description: 用vhdl语言编写的基于fpga的波形发生器,使用了quartusII程序。可以在1602液晶显示器上显示目前的波形种类。产生的波形分别是正弦波,三角波,锯齿波和方波。-VHDL language using FPGA-based waveform generator, using the procedures quartusII. 1602 liquid crystal display can show the current waveform types. Generated waveforms are sine wave, triangle wave, sawtooth and square wave.
Platform: | Size: 1708032 | Author: zhg | Hits:

[Other systemsfpga+1602

Description: 本程序用VHDL语言编程实现FPGA对点阵液晶1602的驱动 -This procedure using VHDL language programming FPGA to realize the 1602 dot-matrix LCD driver
Platform: | Size: 1024 | Author: pdu | Hits:

[SCM1602

Description: lcd1602的一些中文资料,初始化方法等包含有PDF,文档和其他一些文件,希望对你们有用-Some Chinese lcd1602 information contains initialization methods have PDF, documents and other documents you wish to be useful
Platform: | Size: 2649088 | Author: 黄灵鹭 | Hits:

[Otherlcd1602

Description: FPGA工程文件 通过FPGA在LCD上显示“this is my frist program"的字体 已经验证,供大家学习使用。-FPGA through the FPGA project file in the LCD display
Platform: | Size: 630784 | Author: 马亮 | Hits:

[VHDL-FPGA-Verilogvhdl

Description: 时钟程序 用于FPGA开发板上 在LCD1602上显示时,分,秒,十分之一秒-Clock program for FPGA development board in the LCD1602 display hours, minutes, seconds, tenth of seconds
Platform: | Size: 2048 | Author: lijingfeng | Hits:

[VHDL-FPGA-Verilogscorce

Description: FPGA驱动1602LCD程序,在实验板上实验成功,和大家分享!^_^-FPGA-driven 1602LCD procedures, the success of the experiment on-board experiments, and the U.S. to share! ^ _ ^
Platform: | Size: 2048 | Author: whq | Hits:

[VHDL-FPGA-Verilog16X2-LCD-FPGA

Description: 16X2液晶显示屏的FPGA显示驱动设计。-16x2 LCD display driver design of the FPGA.
Platform: | Size: 400384 | Author: lixu | Hits:

[OtherFPGA-CPLD_sdr

Description: FPGA-CPLD在软件无线电中的工程应用,基础知识,课件-FPGA-CPLD in software radio engineering applications, basic knowledge, courseware
Platform: | Size: 1957888 | Author: roc2007 | Hits:

[VHDL-FPGA-Verilog1602_jp

Description: FPGA lcd显示程序,可以扫描键盘输入,并在lcd上显示,-FPGA lcd display program, you can scan the keyboard input and display in lcd,
Platform: | Size: 478208 | Author: zdy | Hits:

[VHDL-FPGA-Veriloghdl

Description: ACTEL FPGA 1602显示,verilog描述-ACTEL FPGA 1602 show, verilog description
Platform: | Size: 3072 | Author: gouyouwen | Hits:

[VHDL-FPGA-VerilogFPGA-1602

Description: 关于verilog的1602的编写,可以参考一下,学习学习,很有帮助的。-Verilog 1602 on the preparation, for reference, study and learn, very helpful.
Platform: | Size: 631808 | Author: 王子 | Hits:

[VHDL-FPGA-VerilogFPGA-1602

Description: 这是自己写的一个 基于ATEARA 公司的FPGA的 1602的程序 传上和交流交流-This is to write a FPGA-based ATEARA s 1602 program transfer and exchange on the exchange
Platform: | Size: 1013760 | Author: 胥秋 | Hits:

[VHDL-FPGA-VerilogACTEL-FPGA-1602(Verilog)

Description: 1602液晶显示程序,用verilog写的!-1602 LCD program, written using verilog!
Platform: | Size: 4096 | Author: wns | Hits:

[VHDL-FPGA-Verilogfpga-vhdl-lcd1602

Description: fpga 1602测试程序 vhdl语言-fpga 1602测试程序
Platform: | Size: 1052672 | Author: 王刚 | Hits:

[VHDL-FPGA-Verilog1602

Description: 用fpga实现1602计数器显示,因为我还没来得及做校准时间,所以只能称之为时钟计数器,不能成为电子钟。 网上很少用人公开这一类代码,一搜FPGA 1602,都是写一个静态的显示,在实际应用中,是没有用的,因此这个简单的例子,给大家抛砖引玉了! -Because I have not had time to do the calibration time, it can only be called a clock counter, can not become the electronic clock. Rarely employing online discloses this type of code, a search FPGA 1602, are written to a static display, in the practical application, it is of no use, so this simple example, to initiate a!
Platform: | Size: 2397184 | Author: DJH | Hits:

[VHDL-FPGA-Verilog基于FPGA的LCD1602液晶显示实验

Description: 基于FPGA的LCD1602液晶显示实验,可以通过实验箱用相应的XiLinex平台实现对液晶1602的显示,包括汉字和英文
Platform: | Size: 406528 | Author: libo_jay2009@163.com | Hits:

[Otherkeyscan1602

Description: 基于FPGA的4*4矩阵键盘输入,1602液晶屏显示,以及四个LED灯输出。(Based on the 4*4 matrix keyboard input of the FPGA, 1602 LCD screen display, and four LED lights output.)
Platform: | Size: 1377280 | Author: tomasliu | Hits:
« 12 3 4 5 6 »

CodeBus www.codebus.net