Welcome![Sign In][Sign Up]
Location:
Search - Hamming

Search list

[VHDL-FPGA-VerilogHam_Code

Description: Hamming code is implemented by ASIC design method.-With verilog design way, we can check error control code with hamming code .
Platform: | Size: 9216 | Author: ananliu1 | Hits:

[matlabcode

Description: 基于matlab的虹膜边缘识别 包括边缘检测,归一化,用汉明矩进行特征匹配-Matlab on the edge of iris recognition, including edge detection, normalization, with Hamming moments feature matching
Platform: | Size: 19456 | Author: 郭林炀 | Hits:

[matlabhangmingma

Description: 对随机序列进行(7,4)汉明码编码,经过bpsk调制解调,然后译码,最后绘出信噪比与误码率的关系曲线-Random sequences (7,4) hamming code encoding, after bpsk modem, and then decoding, and finally draw the signal to noise ratio and bit error rate curve
Platform: | Size: 1024 | Author: lvdelong | Hits:

[matlabhamming_distance

Description: Hamming distance calculator Calculates the hamming distance between any two bit pattern. The bit pattern must be of same length.-Hamming distance calculator Calculates the hamming distance between any two bit pattern. The bit pattern must be of same length.
Platform: | Size: 8192 | Author: tiko | Hits:

[VHDL-FPGA-Veriloghamming

Description: VHDL 实现的海明码编码和校验,可以报错,并且修改错误位,有错误类型码,已包含引脚分配和测试波形-VHDL implementation of the Hamming code and check code can be error, and modify the wrong place, wrong type of code is included pin assignment and test waveform
Platform: | Size: 1075200 | Author: 王晓龙 | Hits:

[matlab74hamming

Description: 汉明码(7,3)的matlab仿真,学习差错控制编码编码的入门经典-hamming (7,3)matlab simulation ,is a typical introduction of error control coding
Platform: | Size: 2048 | Author: 叶智 | Hits:

[VHDL-FPGA-Veriloghanming

Description: 用Verilog语言实现汉明编码,很粗燥,是大三的时候做的-With the Verilog language Hamming code, it is rough dry, a junior at the time to do
Platform: | Size: 1024 | Author: xiaohuai | Hits:

[Mathimatics-Numerical algorithmsfft

Description: FFT algorithms FFT, IFFT, power spectrum calculation, including the Hamming window, Hanning window, triangle window, Blackman window, 4 term Blackman-Harris window of several of the power spectrum window function computing power.
Platform: | Size: 1024 | Author: firdausmustaffa | Hits:

[VHDL-FPGA-VerilogHamming

Description: HAMMING CODE IN VHDL
Platform: | Size: 6144 | Author: dan | Hits:

[3D Graphichamming

Description: hamming coding and decoding
Platform: | Size: 40960 | Author: 郑良 | Hits:

[JSP/Javahammingcode

Description: The program implements hamming code.
Platform: | Size: 4096 | Author: roy562 | Hits:

[matlabMatlab

Description: hamming distance in viterbi by leduy
Platform: | Size: 2048 | Author: duy | Hits:

[SCMHAMMING

Description: The program is hamming code for error detection of a 8 bit data stream. In this algorithm for error detection the number of redundant bits is considered as per the input data stream that is to be transmitted across the network. For example, 8 bits of data will have 4 redundant bits. The error is detected by parity check with the binary value at the positions of the input data stream. Here the redundant bits are sent along with the input data and this can be checked for correctness at the receiver end.
Platform: | Size: 1024 | Author: seshagopal | Hits:

[matlabhamming

Description: 汉明码Hamming Code 编程实例-Hamming code Hamming Code Programming Example
Platform: | Size: 3072 | Author: yankai | Hits:

[SCMHamming-Code

Description: 汉明码Hamming Code 单片机编程详解-Hamming code Hamming Code Detailed Microcontroller Programming
Platform: | Size: 248832 | Author: yankai | Hits:

[matlabhamming

Description: 实现汉明码的译码算法 进行正交调制和硬判决 -Hamming code decoding algorithm to achieve the orthogonal modulation and hard decision
Platform: | Size: 5120 | Author: yilidoujiang | Hits:

[matlabhamming-codesgen

Description: hamming code -hamming code .................................
Platform: | Size: 1024 | Author: Demi | Hits:

[matlabHamming

Description: Hamming code matlab Hamming code matlab Hamming code matlab -Hamming code matlab Hamming code matlab Hamming code matlab Hamming code matlab
Platform: | Size: 1024 | Author: hussein | Hits:

[VHDL-FPGA-Veriloghamming

Description: 用CPLD编写汉明码,在串口输出汉明码序列-Hamming code written by CPLD, Hamming code sequence in the serial output
Platform: | Size: 1024 | Author: miya | Hits:

[VHDL-FPGA-Verilogecc

Description: For implementing the Hamming coding in verilog or VHDL
Platform: | Size: 132096 | Author: test | Hits:
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 49 »

CodeBus www.codebus.net