Welcome![Sign In][Sign Up]
Location:
Search - NIOS2 LCD

Search list

[Other resource61505

Description: 使用61505控制其的LCD的驱动程序,已在Nios2 上调试成功
Platform: | Size: 427233 | Author: jzt | Hits:

[Other Embeded program61505

Description: 使用61505控制其的LCD的驱动程序,已在Nios2 上调试成功 -Use the LCD to control its 61,505 drivers have been successful in Nios2 debugging
Platform: | Size: 427008 | Author: jzt | Hits:

[Embeded-SCM Developnios_LCD1602

Description: 基于nios2的简单的LCD显示功能,硬件平台采用的艾米电子的开发板。-Nios2 based on a simple LCD display, the hardware platform used in the development of electronic boards Amy.
Platform: | Size: 10358784 | Author: bluesky428 | Hits:

[Driver Developlcd

Description: nios2的lcd显示,240 320 lcd显示屏-nios2
Platform: | Size: 4096 | Author: 鹿婷 | Hits:

[SCMclock

Description: 在nios2系统中实现lcd显示电子时钟-In the nios2 system to achieve lcd display electronic clock
Platform: | Size: 2048 | Author: | Hits:

[VHDL-FPGA-Verilogtimer_led

Description: nios2 IDE ,时钟程序,实现其主要功能如下:1.在液晶屏上显示时间、日期、状态提示;2.在控制台上显示时间、日期、状态提示;3.对时间、日期能够进行设置;4.在8位七段显示器上显示时间、日期;5. 整点报时功能(利用LED闪烁提醒)。请根据请添加的cpu系统更改其中的调用名称。-nios2 IDE, clock procedures to achieve its main function is as follows: 1. Displayed on the LCD screen time, date, status tips 2. On the console display time, date, status tips 3. Of the time, date to be set 4. In the eight seven-segment display shows time, date 5. The whole point timekeeping function (using the LED flashes to remind). Please add the cpu according to system changes the name of one of the calls.
Platform: | Size: 3072 | Author: cd | Hits:

[VHDL-FPGA-Veriloglcd

Description: LCD的循环显示,先点亮LCD然后对其进行操作,实现了通过nios2控制LCD的显示,-Cycle of the LCD display, first lit LCD and its operations, to achieve control through the nios2 LCD display,
Platform: | Size: 1024 | Author: zxhou | Hits:

[VHDL-FPGA-Verilognios-driverLCD

Description: 在FPGA中使用嵌入式软核NIOS2控制128*64的液晶屏程序-Embedded in an FPGA soft core NIOS2 control 128* 64 LCD procedures
Platform: | Size: 8192 | Author: | Hits:

[VHDL-FPGA-Verilognios2_ucos2

Description: 基于Altera的FPGA配置的Nios2软核,移植了uC/OS2操作系统。实现的功能包括1602字符液晶驱动,基于中断的4*4矩阵键盘检测,流水灯。所有C文件位于\software\nios2_hello_ucosii目录下。 -Embedded Nios2 System based on Altera s FPGA, with uC/OS2 RTOS transplanted. Function included: 1602 character LCD display, 4*4 matrix keyboard detection based on interruption, LED flush lights.
Platform: | Size: 14877696 | Author: | Hits:

[VHDL-FPGA-VerilogTFT

Description: 用NIOS2做的TFT液晶显示实验,实现循环刷屏功能,感兴趣的朋友可以关注一下-Do with NIOS2 TFT LCD experimental loop scraper function, interested friends can look
Platform: | Size: 1871872 | Author: 蒋亮 | Hits:

[VHDL-FPGA-VerilogNios2

Description: 基于DE2开发板,实现LCD显示功能的程序代码-Based on the DE2 board, to achieve LCD display.
Platform: | Size: 1794048 | Author: ZhaoXia | Hits:

[File FormatSOPC-Nios2-and-LCD-interface

Description: Altera SOPC Builder提供了Nios Ⅱ处理器及一些常用外设接口,但并没有提供 12864液晶模块的接口及驱动。利用SOPC Builder中元件编辑器Create New Component, 通过自定义逻辑的方法在SOPC设计中添加自己开发的液晶显示模块IP核,并集成到系统, 实现了嵌入式NiosⅡ软核处理器与液晶显示模块的接口设计,并编写了驱动程序。可以和 系统自带的接口组件一样,开发者利用该开发组件,不必了解液晶屏原理就可以使用标准C 函数操作组件进行液晶屏显示的独立开发。- Using SOPC Builder Component editor in the Create New Componen to control LCD interface.
Platform: | Size: 211968 | Author: 刘伟 | Hits:

CodeBus www.codebus.net