Location:
Search - PS2 encoding
Search list
Description: 用汇编语言实现的PS/2键盘按键通信程序,能够正确送到主机显示,采用第2套编码方式。-Using assembly language to achieve the PS/2 keyboard key communications procedures, to correct the host to indicate that the use of the first 2 sets of encoding.
Platform: |
Size: 1024 |
Author: 何宗奎 |
Hits:
Description: ps2键盘编码库文件,直接可以调用。先把它复制进编译器的库文件,家#include<ps2.h
>-ps2 keyboard encoding library file directly can call. First copy it into the compiler
Platform: |
Size: 2048 |
Author: 王斌卡 |
Hits:
Description: mega16读取PS2键盘编码,并且解码以后通过UART发送到串口显示。直接发送不存在按键缓冲的问题D7口接蜂鸣器用于标记有按键按下
-mega16 read PS2 keyboard encoding and decoding through the UART later sent to the serial display. Direct Send button does not exist the problem of buffer D7 I then have to tag buzzer button is depressed
Platform: |
Size: 2048 |
Author: 苗显 |
Hits:
Description: ps2 keyboard with encoding ascii code to 7-segments screeen.
LIBRARY ieee
USE ieee.std_logic_1164.all
USE ieee.std_logic_arith.all
USE ieee.std_logic_unsigned.all
ENTITY klawa IS
PORT (
keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC
SW : in std_logic_vector(4 downto 0)
HEX1, HEX2, HEX0, HEX3, HEX4, HEX5, HEX6, HEX7 : out std_logic_vector(6 downto 0)
)
end klawa
architecture Behavioral of klawa is
component keyboard
PORT (
keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC
scan_code : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0 )
scan_ready : OUT STD_LOGIC
)
END component -ps2 keyboard with encoding ascii code to 7-segments screeen.
LIBRARY ieee
USE ieee.std_logic_1164.all
USE ieee.std_logic_arith.all
USE ieee.std_logic_unsigned.all
ENTITY klawa IS
PORT (
keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC
SW : in std_logic_vector(4 downto 0)
HEX1, HEX2, HEX0, HEX3, HEX4, HEX5, HEX6, HEX7 : out std_logic_vector(6 downto 0)
)
end klawa
architecture Behavioral of klawa is
component keyboard
PORT (
keyboard_clk, keyboard_data, clock_25MHz,reset,read1 : IN STD_LOGIC
scan_code : OUT STD_LOGIC_VECTOR( 7 DOWNTO 0 )
scan_ready : OUT STD_LOGIC
)
END component
Platform: |
Size: 637952 |
Author: arekk |
Hits:
Description: 本代码功能为实现接收PS2键盘编码功能。
程序通过quartusII 8.1编译,使用verilog语言编写。
可在彬杰科技*BJTECH公司基于altera epm240的开发板上验证。
(开发板网址http://item.taobao.com/auction/item_detail-0db1-69fe7069aa3ba544abf783bc4427b377.htm)
有需要的朋友可以下载参考-The code functions to achieve the receiver PS2 keyboard encoding. Procedure quartusII 8.1 compiler, use the verilog language. Bin Jie in science and technology* BJTECH company' s development board based on altera epm240 verification. (Development Board web site http://item.taobao.com/auction/item_detail-0db1-69fe7069aa3ba544abf783bc4427b377.htm) in need of friends can download reference
Platform: |
Size: 118784 |
Author: 彬杰科技 |
Hits:
Description: 接收PS2键盘编码,有51单片机上调试通过编写于2010年9月-Receive PS2 keyboard encoding, there are 51 single-chip debugging through writing in September 2010
Platform: |
Size: 16384 |
Author: 梁宗欢 |
Hits:
Description: ps2接口编解码程序,基于AVR单片机MEGA16实现,测试完全OK-ps2 interface encoding and decoding procedures based on the AVR microcontroller the MEGA16 to achieve, the test is completely OK...
Platform: |
Size: 72704 |
Author: 欧少林 |
Hits:
Description: Joy PS2 C++ for AVR? encoding, decoding
Platform: |
Size: 17408 |
Author: Andrey |
Hits:
Description: 基于FPGA的键盘PS第二类编码方式的verilog解码程序。 -FPGA keyboard PS encoding the verilog decoding procedures. FPGA keyboard PS encoding the verilog decoding procedures.
Platform: |
Size: 1133568 |
Author: tanbo |
Hits: