Welcome![Sign In][Sign Up]
Location:
Search - Serial_CRC

Search list

[Other resourceSerial_CRC

Description: CRC校验串行实现方法,verilog源码,利用反馈线性移位寄存器的方法,实现简单,适用于串行通信协议中的CRC校验.
Platform: | Size: 824 | Author: 徐亮 | Hits:

[Other resourceserial_crc

Description: VHDL源代码,资源多多共享,不懂的地方多多指教
Platform: | Size: 899 | Author: wangzhe | Hits:

[VHDL-FPGA-VerilogSerial_CRC

Description: CRC校验串行实现方法,verilog源码,利用反馈线性移位寄存器的方法,实现简单,适用于串行通信协议中的CRC校验.-CRC checksum method of serial realize, verilog source code, the use of linear feedback shift register method, the realization of simple serial communication protocol for the CRC checksum.
Platform: | Size: 1024 | Author: 徐亮 | Hits:

[VHDL-FPGA-Verilogserial_crc

Description: VHDL源代码,资源多多共享,不懂的地方多多指教-VHDL source code, resources, a lot of sharing, do not know where the exhibitions
Platform: | Size: 1024 | Author: wangzhe | Hits:

CodeBus www.codebus.net