Welcome![Sign In][Sign Up]
Location:
Search - TLC5510

Search list

[Other resourceTLC5510.VHDL

Description: TLC5510 VHDL控制程序 基于VHDL语言,实现对高速A/D器件TLC5510控制-TLC5510 VHDL control procedures based on the VHDL language, to achieve high-speed A / D control device TLC5510
Platform: | Size: 3351 | Author: 少龙 | Hits:

[VHDL-FPGA-VerilogTLC5510.VHDL

Description:
Platform: | Size: 3072 | Author: 少龙 | Hits:

[Other Embeded programTLC5510

Description: CPLD下的A/D转换器TCL5510驱动源码-CPLD under the A/D converters TCL5510 driven FOSS
Platform: | Size: 34816 | Author: 陈子牙 | Hits:

[VHDL-FPGA-VerilogADC_TCL5510

Description: ADC TLC5510的测试程序,经过测试通过,十分简单好用-ADC TLC5510 test procedures, after the test is very simple and easy
Platform: | Size: 1024 | Author: liqijun | Hits:

[VHDL-FPGA-VerilogTLC5510

Description: altera Quartus II TLC晶片控制 可控制暫存器,手動調整內碼。 (含電路) -altera Quartus II TLC chip control registers can be controlled manually adjust the code. (With circuit)
Platform: | Size: 129024 | Author: 陳小龍 | Hits:

[VHDL-FPGA-VerilogTLC5510VHDL

Description: 功能:基于VHDL语言,实现对高速A/D器件TLC5510控制-Features: Based on the VHDL language, realize high-speed A/D control devices TLC5510
Platform: | Size: 3072 | Author: 黄上 | Hits:

[VHDL-FPGA-VerilogTLC5510_VHDL

Description: 基于VHDL语言,实现对高速A/D器件TLC5510控制-Based on the VHDL language, to achieve high-speed A/D device control TLC5510
Platform: | Size: 1024 | Author: huangsong | Hits:

[VHDL-FPGA-Verilogchengxu

Description: 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and demodulation of the control procedures, MSK modulation and demodulation control procedures, procedures for elevator control, TLC5510 control procedures, base-band code generator procedures, organ procedures vending machine procedures, electronic clock procedures, stepper motor control of positioning systems, waveform generator, Taximeter, ADCO809
Platform: | Size: 1277952 | Author: chenjy | Hits:

[VHDL-FPGA-Verilogtlc5510

Description: TI公司的TLC5510的用VHDL写的控制器及其仿真-TI' s TLC5510 use the controller to write VHDL and its simulation
Platform: | Size: 1239040 | Author: yangzhibin | Hits:

[VHDL-FPGA-VerilogTLC5510

Description: 基于FPGA的TLC5510控制器的设计VHDL源码-FPGA-based controller design TLC5510 the VHDL source code
Platform: | Size: 1142784 | Author: sky | Hits:

[SCMTLC5510

Description: TLC5510与单片机的接口程序 并用CH451显示-The TLC5510 and MCU interface program and show CH451
Platform: | Size: 1024 | Author: 时海林 | Hits:

[VHDL-FPGA-VerilogTLC5510

Description: 文件名:TLC5510.vhd 功能:基于VHDL语言,实现对高速A/D器件TLC5510控制 -File Name: TLC5510.vhd features: Based on the VHDL language, to achieve high-speed A/D control devices TLC5510
Platform: | Size: 3072 | Author: Aria | Hits:

[VHDL-FPGA-VerilogTLC5510

Description: tlc5510的vhdl程序,有详细的工程文件,为初学者提供很好多的资料-tlc5510 of vhdl procedures, detailed engineering documents, in order to provide a good amount of information for beginners
Platform: | Size: 35840 | Author: wangyl | Hits:

[VHDL-FPGA-Verilogtlc5510

Description: 常用高速数模转换芯片TLC5510的控制程序-AD-chip TLC5510 control program
Platform: | Size: 138240 | Author: yeyang | Hits:

[Software EngineeringTLC5510

Description: 基于VHDL语言,实现对高速A/D 器件TLC5510 控制-Based on the VHDL language, to achieve high-speed A/D control devices TLC5510
Platform: | Size: 1024 | Author: Aaron Chen | Hits:

[VHDL-FPGA-VerilogTLC5510

Description: VHDL实现对TLC5510的控制,带有signaltap仿真图-VHDL implementation of the TLC5510 control, with signaltap simulation diagram
Platform: | Size: 3077120 | Author: 成天 | Hits:

[VHDL-FPGA-VerilogTLC5510

Description: 采用超高速AD存储示波器程序设计。器件是采用TLC5510。用FPGA来控制实现。-The ultra high speed AD storage oscilloscope programming. Device is used TLC5510. Using FPGA to control the implementation.
Platform: | Size: 1024 | Author: 刘大仔 | Hits:

[SCMTLC5510

Description: 代码是高速AD tlc5510的驱动代码-Code is high-speed AD tlc5510 driver code
Platform: | Size: 294912 | Author: zxw | Hits:

[OtherTLC5510

Description: 这个是TLC5510的数据手册,TLC5510是20M8位高速ADC。需要做示波器的同学可以参考-This is the TLC5510 data sheet, TLC5510 is 20M8-bit high speed ADC. Students need to do the oscilloscope can refer to.
Platform: | Size: 677888 | Author: 侯进振 | Hits:

[SCMTLC5510

Description: TLC5510是美国德州仪器(TI)公司生产的8位半闪速结构模数转换器,它采用CMOS工艺制造,可提供最小20Msps的采样率。可广泛用于数字TV、医学图像、视频会议、高速数据转换以及QAM解调器等方面。文中介绍了TLC5510的性能指标、引脚功能、内部结构和操作时序,给出了TLC5510的应用线路设计和参考电压的配置方法。-TLC5510 is Texas Instruments (TI) produced eight half-flash ADC structure, which uses CMOS process, can provide minimum 20Msps sampling rate. It can be widely used for digital TV, medical imaging, video conferencing, high speed data conversion, and QAM demodulator and so on. This paper introduces the TLC5510 performance indicators, pin functions, internal structure and operation of timing, given the application TLC5510 reference voltage circuit design and configuration.
Platform: | Size: 1027072 | Author: 韦家正 | Hits:
« 12 3 4 »

CodeBus www.codebus.net