Welcome![Sign In][Sign Up]
Location:
Search - TLC7524

Search list

[File OperateFPGA_27eg

Description: FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFSK调制VHDL程序及仿真; MPSK调制与解调VHDL程序与仿真; 基带码发生器程序设计与仿真; 频率计程序设计与仿真; 采用等精度测频原理的频率计程序与仿真; 电子琴程序设计与仿真 2004.8修改; 电子琴程序设计与仿真; 电梯控制器程序设计与仿真; 电子时钟VHDL程序与仿真; 自动售货机VHDL程序与仿真; 出租车计价器VHDL程序与仿真 2004.8修改; 出租车计价器VHDL程序与仿真; 波形发生程序; 步进电机定位控制系统VHDL程序与仿-FPGA value of the 27 examples. Rar including LED control procedures and VHDL simulation 200 4.8 amendments. doc; LED control procedures and VHDL simulation; LCD control procedures and VHDL simulation 2004.8 modified; LCD control procedures and VHDL simulation; Connection between ADC 0809 VHDL control procedures; TLC5510 VHDL control procedures; DAC0832 interface circuits; TLC7524 interface circuits; URAT procedures and VHDL simulation; ASK modulation and demodulation process and VHDL simulation; FSK modulation and demodulation process and VHDL simulation; PSK modulation and demodulation process and VHDL simulation; MASK modulation procedures and VHDL simulation; MFSK modulation procedures and VHDL simulation; MPSK modulation and demodulation process and VHDL simulation; Base-band code gene
Platform: | Size: 1279333 | Author: | Hits:

[Embeded-SCM DevelopTLC7524

Description: 本程序是用VHDL语言实现对AD控制,内含源代码,仿真结果及解释说明。相信大家看了就会明白
Platform: | Size: 4019 | Author: 敬礼 | Hits:

[Other resourceTLC7524.vhd

Description: TLC7524的接口源程序
Platform: | Size: 1335 | Author: zhangfeng | Hits:

[Embeded-SCM DevelopTLC7524

Description: 本程序是用VHDL语言实现对AD控制,内含源代码,仿真结果及解释说明。相信大家看了就会明白
Platform: | Size: 4096 | Author: 敬礼 | Hits:

[source in ebookTLC7524Code

Description: TLC7524接口电路程序的VHDL程序代码-TLC7524 interface circuit procedures VHDL code
Platform: | Size: 4096 | Author: 贺平 | Hits:

[VHDL-FPGA-VerilogTLC7524.vhd

Description: TLC7524的接口源程序-TLC7524 source interface
Platform: | Size: 1024 | Author: zhangfeng | Hits:

[VHDL-FPGA-VerilogVHDL

Description: 包含TLC5510 VHDL控制程序,TLC7524接口电路程序和URAT VHDL程序与仿真,都已调试过.-TLC5510 VHDL contains control procedures, TLC7524 interface circuit processes and procedures and URAT VHDL simulation, have been debug.
Platform: | Size: 92160 | Author: gillyamylee | Hits:

[SCMTLC7524

Description: --功能:产生156.25KHz的正弦波。-Functions: the sine wave generated 156.25KHz.
Platform: | Size: 4096 | Author: 张文辉 | Hits:

[ApplicationsTLC7524

Description: TLC7524接口电路程序,采用硬件描述语言VHDL编写,做实验,写报告相当有用-TLC7524 interface circuit procedures, the use of VHDL hardware description language preparation, experiment, write a useful report
Platform: | Size: 4096 | Author: chenqin | Hits:

[VHDL-FPGA-VerilogTKC7524jiekoudianluchengxu

Description: 根据TLC7524输出控制时序,利用接口电路图,通过改变输出数据,设计一个正弦波发生器。TLC7524是8位的D/A转换器,转换周期为 ,所以锯齿波型数据有256个点构成,每个点的数据长度为8位。.FPGA的系统时钟为 ,通过对其进行5分频处理,得到频率为 的正弦波-TLC7524 output under the control of timing, the use of interface circuit, by changing the output data, the design of a sine wave generator. TLC7524 8-bit D/A converter, the conversion cycle, so sawtooth 256 data points, each point of the data length of 8. . FPGA system clock as, through its 5-band processing, the frequency of the sine wave
Platform: | Size: 1024 | Author: 离火 | Hits:

[OtherTLC7524

Description: 8.7 TLC7524接口电路程序 见随书所附光盘中文件:TLC7524VHDL程序与仿真。 --文件名:TLC7524.VHD --功能:产生156.25KHz的正弦波。 --最后修改日期:2004.3.18。 -8.7 TLC7524 interface circuit procedures see accompanying CD-ROM with the book files: TLC7524VHDL and simulation procedures.- File Name: TLC7524.VHD- functions: the sine wave generated 156.25KHz.- Last modified date: 2004.3.18.
Platform: | Size: 4096 | Author: wangnan | Hits:

[VHDL-FPGA-VerilogTLC7524

Description: TLC7524接口电路程序,里面含详细说明。-TLC7524 program interface circuit, which contains a detailed description.
Platform: | Size: 4096 | Author: | Hits:

[SCMtlc7524

Description:
Platform: | Size: 569344 | Author: yanyan | Hits:

[Embeded-SCM DevelopTLC7524

Description: 8.7 TLC7524接口电路程序.doc-8.7 TLC7524 interface circuit program. Doc
Platform: | Size: 4096 | Author: 李传敏 | Hits:

[SCMTLC7524

Description: TLC5510 VHDL控制程序,TLC7524接口电路程序和URAT VHDL程序与仿真,都已调试过.-TLC5510 VHDL- contains control procedures, TLC7524 interface circuit processes and procedures and URAT VHDL simulation, have been debug.
Platform: | Size: 4096 | Author: duanjinsheng | Hits:

[VHDL-FPGA-VerilogTLC7524-programmer

Description: TLC7524接口电路和控制程序,请初学者参考-TLC7524 interface circuit and control procedures, please refer to beginners
Platform: | Size: 4096 | Author: guofeng | Hits:

[VHDL-FPGA-VerilogTLC7524

Description: 这是一个有关于TLC7524接口电路程序,在quartus中运行-This is a TLC7524 interface circuit program, run in quartus
Platform: | Size: 4096 | Author: huijia1002 | Hits:

[MPI8.7-TLC7524

Description: TLC7524接口电路程序:产生156.25KHz的正弦波-TLC7524 interface circuit program: produce 156.25KHz sine wave
Platform: | Size: 4096 | Author: jack | Hits:

[VHDL-FPGA-VerilogTLC7524-interface-circuit-program

Description: 使用VHDL语言,编写的TLC7524接口电路程序,-Using VHDL language, interface circuit TLC7524 written procedures,
Platform: | Size: 4096 | Author: ludongdong | Hits:

[VHDL-FPGA-VerilogTLC7524-interface-circuit-program

Description: TLC7524接口电路程序VHDL实现及仿真-TLC7524 interface circuit VHDL implementation and simulation program
Platform: | Size: 4096 | Author: 吴云志 | Hits:
« 12 »

CodeBus www.codebus.net