Welcome![Sign In][Sign Up]
Location:
Search - Taxi meter design

Search list

[Othertaxiwork

Description: 介绍了基于FPGA的多功能计程车计价器的电路设计。该设计采用了可编程逻辑器件FPGA的ASIC设计,并基于超高速硬件描述语言VHDL在Xilinx公司的SpartanⅡ系列的2sc200PQ208-5芯片上编程实现了整个系统的控制部分,整个自动控制系统由四个模块构成:秒分频模块、控制模块、计量模块和译码显示模块。该设计不仅仅实现了显示计程车计费的功能,其多功能表现在它可以通过选择键选择显示计程车累计走的总路程和乘客乘载的时间。计时、计程、计费准确可靠,应用于实际当中有较好的实用价值和较高的可行性。-introduced FPGA-based multifunctional taxi meter circuit design. The design using programmable logic device FPGA ASIC design, and for the super-high-speed VHDL hardware description language in the company Xilinx Spartan II Series 2 sc 200PQ208-5 chip programming of the control system as a whole, Automatic control the entire system from four modules : a seconds-frequency module, control module, metrology modules and decoding module. The design not only achieved a taxi showed Billing functions, Multifunctional its performance through its keypad revealed taxi taking the cumulative total journey of the passengers took the set time. Program, log, billing is accurate, reliable and practical application of them have good practical value and the higher the possibility.
Platform: | Size: 9216 | Author: 柑佬 | Hits:

[Software EngineeringMultisim_circle

Description: Multisim 8可以将不同类型的电路组成混合电路进行仿真,界面直观,操作方便。本文先研究Multisim 8的操作方法及其应用实例。之后分别根据交通灯系统和出租车计价器系统的设计要求提出其改进的系统实施方案。然后根据两系统的改进方案,分别设计其数据子系统和控制子系统,并画出实现的电原理图,其中部分模块用VHDL语言实现。最后在Multisim 8中进行仿真,验证两系统的改进方案均正确实现了各自设计要求中的诸项功能。-Multisim 8 will be different types of circuit composed of mixed circuit simulation, visual interface, convenient operation. This paper will examine Multisim 8 methods of operation and application examples. Respectively after traffic lights system and the taxi meter system design requirements of the system to improve its implementation of the program. According to the improvement of systems of two programs designed its data subsystem and control subsystem, and paint to achieve the circuit diagram, Some of these modules using VHDL. Finally in Multisim 8 simulation. 2 certification system improvement program are correct achieve their design requirements for the various functions.
Platform: | Size: 7665664 | Author: | Hits:

[VHDL-FPGA-VerilogSystemOfTaxiFeeBasedOnVerilogHDL

Description: 摘 要:以上海地区的出租车计费器为例,利用Verilog HDL语言设计了出租车计费器,使其具有时间 显示、计费以及模拟出租车启动、停止、复位等功能,并设置了动态扫描电路显示车费和对应时间,显示 了硬件描述语言Verilog—HDL设计数字逻辑电路的优越性。源程序经MAX+PLUS Ⅱ软件调试、优 化,下载到EPF1OK10TC144—3芯片中,可应用于实际的出租车收费系统。 关键词:Verilog HDL;电子自动化设计;硬件描述语言;MAX+PLUSⅡ-Abstract: Shanghai taxi meter as an example, the use of Verilog HDL language designed taxi meter so that it will have the time display, billing, as well as analog taxis to start, stop, reset and other functions, and set up a dynamic scanning circuit shows that the fare and the corresponding time, shows the hardware description language Verilog-HDL design of the superiority of digital logic circuits. Source by MAX+ PLUS Ⅱ software debugging, optimization, downloaded to EPF1OK10TC144-3 chip, can be applied to the actual taxi fare collection system. Keywords: Verilog HDL electronic design automation hardware description language MAX+ PLUS Ⅱ
Platform: | Size: 211968 | Author: 杨轶帆 | Hits:

[SCMtaxi

Description: 用单片机实现出租车计价器的源码,是参加全国大学生电子设计比赛的训练时写的, 和普通的计价器比,考虑到实际多种情况与环境。-MCU Taximeter realize the source, is take part in the National Undergraduate Electronic Design Contest for writing training, and general than the meter, taking into account the actual range of conditions and the environment.
Platform: | Size: 110592 | Author: zhuangxb | Hits:

[File Formatchuzuchejifeiqi

Description: 出租车计费器 课程设计报告 详细介绍其工作原理及工作过程-Taxi meter course design report details of its working principle and working process
Platform: | Size: 120832 | Author: will | Hits:

[assembly languagemeter

Description: 此为基于单片机的出租车计价器设计。利用汇编语言实现了出租车的计价,显示时间等功能。-his is based on single-chip design Taximeter. The use of assembly language to achieve the taxi meter, indicating the time and other functions.
Platform: | Size: 3072 | Author: song | Hits:

[VHDL-FPGA-Verilogvhdl

Description: :以上海地区的出租车计费器为例,利用Verilog HDL语言设计了出租车计费器,使其具有时间 显示、计费以及模拟出租车启动、停止、复位等功能,并设置了动态扫描电路显示车费和对应时间,显示 了硬件描述语言Verilog—HDL设计数字逻辑电路的优越性。源程序经MAX+PLUS Ⅱ软件调试、优 化,下载到EPF1OK10TC144—3芯片中,可应用于实际的出租车收费系统。-: A Shanghai taxi meter area for example, the use of Verilog HDL language taxi meter is designed so that it will have the time display, billing and simulated taxi start, stop, reset and other functions, and set up the dynamic scanning circuit shows that the fare and the corresponding time, shows the hardware description language Verilog-HDL design of the advantages of digital logic circuits. Source by the MAX+ PLUS Ⅱ software debugging, optimization, download EPF1OK10TC144-3 chip, can be applied to the actual taxi fare collection system.
Platform: | Size: 211968 | Author: mindy | Hits:

[Other Embeded programtaxi

Description: 出租车自动计价器设计 要求: 计一个出租车计价器。 该计价器的计费系统:行程 3公里内,且等待累计时间2分钟内,起步费为10元;3公里外以每公里1.6元计费,等待累计时间2分钟外以每分钟以1.5元计费。 并能显示行驶公里数、等待累计时间、总费用。 -Taxi automatic meter design requirements: 1 meter taxi meter. The meter' s billing system: stroke within 3 km, and the total waiting time of 2 minutes, the starting fee is 10 yuan 3 kilometers away, charging 1.6 yuan per kilometer, waiting outside the aggregate time of 2 minutes to 1.5 yuan per minute billing. And can show the number of road miles, waiting for the accumulated time, the total cost.
Platform: | Size: 54272 | Author: dws | Hits:

[Software Engineeringtaxi

Description: 介绍了出租车计费器系统的组成及工作原理,简述了在EDA平台上用单片CPLD器件构成该数字系统的设计思想和实现过程。论述了车型调整模块、计程模块、计费模块、译码动态扫描模块等的设计方法与技巧。-Introduced a taxi meter system, the composition and working principle outlined in the EDA platform, with the single-chip CPLD devices constitute the digital system design idea and implementation process. Discusses the models to adjust module, the meter module, billing module, decoding module and so dynamic scan design methods and techniques.
Platform: | Size: 153600 | Author: 蒋思 | Hits:

[VHDL-FPGA-Verilogtaxi-meter-VHDL-design

Description: 这是基于VHDL的出租车计价器设计,可以当作来参考。-This is based on the taxi meter VHDL design can be used as to reference.
Platform: | Size: 121856 | Author: 猪大 | Hits:

[VHDL-FPGA-VerilogVHDL-based-taxi-meter

Description: 本源码介绍了一种出租车计价器的设计方案,并且是基于VHDL语言,可以轻松在FPGA/CPLD上实现-This source presents a taxi meter design, and is based on the VHDL language, you can easily in the FPGA/CPLD to realize
Platform: | Size: 4096 | Author: helong | Hits:

[SCMtaxi-meter-microcontroller

Description: 本程序主要是基于51单片机的出租车计价器的系统软件设计源程序。-This procedure is based mainly on the taxi meter microcontroller 51, system software design source.
Platform: | Size: 2048 | Author: zoupeng | Hits:

[Embeded-SCM Develop51-MCU-based-taxi-meter

Description: 基于51单片机原理出租车计价器的设计 本科毕业设计-51 MCU-based design principles of the taxi meter
Platform: | Size: 253952 | Author: 王鑫 | Hits:

[SCMtaxi

Description: 本设计采用STC89C52单片机为主控器,实现对出租车的计价设计,输出采8段数码显示管,74LS245芯片和74LS138芯片驱动。本电路设计的计价器不但能实现基本的计价,而且还能根据白天,黑夜来调节单价,同时具有计时功能。-This design uses STC89C52 microcontroller-based controller, the taxi meter design, output adopted 8-segment display tube, 74LS245 chips and 74LS138 chip driver. The circuit design of the meter can not only achieve the basic valuation, but also according to the day and night to adjust the unit price, at the same time has a timer function.
Platform: | Size: 1146880 | Author: 吉尔多 | Hits:

[VHDL-FPGA-Verilogthe-taxi-meter

Description: 利用MAX plus10.2对所设计的出租车计费器的VHDL代码进行仿真,并在FPGA数字实验系统上实现了该控制。-The MAX plus10.2 the design of the taxi meter VHDL code simulation, and FPGA digital experimental system To implement the control. This is the decoding module
Platform: | Size: 507904 | Author: 陈小姐 | Hits:

[VHDL-FPGA-VerilogTaxi-meter

Description: 1、了解出租车计费器的工作原理。 2、学会用VHDL语言编写正确的七段码管显示程序。 3、数量掌握用VHDL编写复杂功能模块。 4、进一步数量状态积在系统设计中的应用。 -1, to understand taxi meter works. 2, learn the proper use of VHDL language program seven-segment LED display. 3, the number of master with VHDL complex functional blocks. 4, the number of states to further accumulate in the system design applications.
Platform: | Size: 1432576 | Author: | Hits:

[SCM51-single-chip-taxi-meter

Description: 基于51单片机的出租车计价器设计,代码具有语音提示,停车耗油计价,按时段收取计价费的硬件驱动功能,并使用打印机打印发票。-Design of taxi meter based on 51 single chip microcomputer
Platform: | Size: 158720 | Author: 高涵 | Hits:

[Software EngineeringThe-taxi-meter-course-design-r

Description: 基于单片机的出租车计价器的课程设计 含文档 仿真图-The taxi meter course design based on single chip microcomputer
Platform: | Size: 290816 | Author: 我是娟娟 | Hits:

[Othersimple-taxi-meter-design

Description: 用VHDL代码编写的简易出租车计价器,可以下载到硬件上,显示行程里数及费用,可自动复位。-VHDL code written using simple taxi meter, can be downloaded to the hardware, display itinerary number and cost, can be automatically reset.
Platform: | Size: 2573312 | Author: Jimy | Hits:

[Othertaxi meter & source code

Description: This is a taxi meter design and separate source code screenshot of the source code.
Platform: | Size: 64512 | Author: blamelessjoe | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net