Welcome![Sign In][Sign Up]
Location:
Search - USB flash memory VHDL

Search list

[VHDL-FPGA-Verilogusb_fpga_1_2_latest.tar

Description: USB2.0的FPGA内核,使其可以通过FPGA控制CY公司出品的CY7C68013USB微控制器,对USB设备进行读写操作。-• Xilinx Spartan-3 XC3S400 FPGA • High-Speed (480 MBit/s) USB interface via Mini-USB connector (B-type) • Cypress CY7C68013A/14A EZ-USB-Microcontroller • 60 General Purpose I/O s (GPIO): ◦ 52 FPGA GPIO s ◦ 8 EZ-USB FX2 GPIO s (4 if Flash option is installed)
Platform: | Size: 328704 | Author: 赵恒 | Hits:

CodeBus www.codebus.net