Welcome![Sign In][Sign Up]
Location:
Search - VGA out

Search list

[Linux-Unixmaven-prog

Description: VGA 转换 Tv Out源代码,在型号为 Matrox G400Dh的显示卡的基础上编程-VGA conversion Tv Out source code, In G400Dh models to the Matrox display card on the basis of Programming
Platform: | Size: 7797 | Author: 陈锡平 | Hits:

[Linux-Unixvivi-br-release(20060330).tar

Description: arm9的bootloader,适合通用2410x平台 vivi.pal(20051228)支持tv输出和vga输出,vclk为26.25mhz vivi(20060330)支持vga输出和tv输出,vclk为25mhz 以上两个vivi均编译自vivi-br-release(20051228).tar.gz 在两个不同vivi间切换的方法是在源文件“/include/platform/smdk2410.h”中打开或者取消对PAL640480宏的定义: #define PAL640480 默认该句是注释掉的,编译得到的vivi的vclk为25mhz ch7005手册中要求640x480的pal输出时vclk为26.25mhz,但实践中发现并不需要完全等与这个频率,有时候vivi(20051228)的pal效果更好,具体使用哪个参数用户可以多比较尝试,也可以尝试修改成其他的频率。-arm9 Bootloader, Definitive 2410 x suitable platform vivi.pal (20051228) support tv vga output and losers that vclk to 26.25mhz 14:57 (20060330) support tv vga output and exports, vclk for more than two 25mhz were compiled from 14:57 14:57 - br-release (20 051,228). tar.gz 14:57 in the two different ways of switching between the source document "/ incl. ude/platform/smdk2410.h "open or cancel right PAL64048 0-definition : # define default PAL640480 comment out of the sentence. Compiling the 14:57 of the vclk 25mhz ch7005 manual requirements 640x480 p al output when vclk 26.25mhz for, but the practice is found to be unnecessary, such as the frequency, Sometimes 14:57 (20051228) pal better effect, use specific parameters which users can try to compare, could also try to amend it in
Platform: | Size: 587698 | Author: star | Hits:

[Linux-Unixmaven-prog

Description: VGA 转换 Tv Out源代码,在型号为 Matrox G400Dh的显示卡的基础上编程-VGA conversion Tv Out source code, In G400Dh models to the Matrox display card on the basis of Programming
Platform: | Size: 8192 | Author: 陈锡平 | Hits:

[Linux-Unixvivi-br-release(20060330).tar

Description: arm9的bootloader,适合通用2410x平台 vivi.pal(20051228)支持tv输出和vga输出,vclk为26.25mhz vivi(20060330)支持vga输出和tv输出,vclk为25mhz 以上两个vivi均编译自vivi-br-release(20051228).tar.gz 在两个不同vivi间切换的方法是在源文件“/include/platform/smdk2410.h”中打开或者取消对PAL640480宏的定义: #define PAL640480 默认该句是注释掉的,编译得到的vivi的vclk为25mhz ch7005手册中要求640x480的pal输出时vclk为26.25mhz,但实践中发现并不需要完全等与这个频率,有时候vivi(20051228)的pal效果更好,具体使用哪个参数用户可以多比较尝试,也可以尝试修改成其他的频率。-arm9 Bootloader, Definitive 2410 x suitable platform vivi.pal (20051228) support tv vga output and losers that vclk to 26.25mhz 14:57 (20060330) support tv vga output and exports, vclk for more than two 25mhz were compiled from 14:57 14:57- br-release (20 051,228). tar.gz 14:57 in the two different ways of switching between the source document "/ incl. ude/platform/smdk2410.h "open or cancel right PAL64048 0-definition :# define default PAL640480 comment out of the sentence. Compiling the 14:57 of the vclk 25mhz ch7005 manual requirements 640x480 p al output when vclk 26.25mhz for, but the practice is found to be unnecessary, such as the frequency, Sometimes 14:57 (20051228) pal better effect, use specific parameters which users can try to compare, could also try to amend it in
Platform: | Size: 587776 | Author: star | Hits:

[Technology Managementzhuanye

Description: 专业知识你懂多少? 例如:3DS或3D Studio 3DS或3D Studio: Three Dimension Studio,三维摄影室。是美国Autodesk公司推出的一套多功能三维动画软件,集实体造型、静态着色和动画创作于一体,极大地普及了三维造型技术。它能够与AutoCAD进行图形信息交换,利用扫描仪输入图形,通过VGA与电视转换接口将动画输出至电视或录像带。 -How much expertise do you understand? For example: 3DS or 3D Studio3DS or 3D Studio: Three Dimension Studio, three-dimensional photography room. Autodesk Launches the United States a set of multi-functional three-dimensional animation software, set solid modeling, rendering and animation of static in one great universal three-dimensional modeling technology. It can carry out with the AutoCAD graphics information exchange, the use of scanner input graphics, through the VGA and television conversion interface animation output to a TV or video.
Platform: | Size: 9216 | Author: liu | Hits:

[OthercomputerInterfaceV1.0

Description: 计算机接口通识大全,收集了计算机大部分通用接口,详细介绍我们常用的接口的用途如USB IEEE1394 VGA DVI PCI PCI-E S_video 等近百种接口的定义 规格及参数. 在华硕电脑工作快5年了,本人(任PE一职)做笔记本,在网上收集了些资料,自己工作之余做了一下整理,本来是用来给新近员工做基础教育用的,现拿出来分享,同大家一起学习计算机通用接口,有错误之处还请大家到本人网站留言指出,谢谢!-General Encyclopedia of computer interface, the collection of the most common computer interface, the details of our use of commonly used interfaces such as USB IEEE1394 VGA DVI PCI PCI-E S_video nearly a hundred species, such as the definition of interface specifications and parameters. At ASUS computer faster job 5 years a, I (as a PE grade 1) Does the notebook, in-line questionnaire to collect some information about their own spare time to do the finishing, originally used to give new employees are to do with basic education, are out to share, together with the U.S. study Universal computer interface error has also invited everyone to my site pointed out that the Guest Book, thank you!
Platform: | Size: 4644864 | Author: 汪洋 | Hits:

[Linux-UnixLinux_bc

Description: 对vga接口做了详细的介绍,并且有一 ·三段式Verilog的IDE程序,但只有DMA ·电子密码锁,基于fpga实现,密码正 ·IIR、FIR、FFT各模块程序设计例程, ·基于逻辑工具的以太网开发,基于逻 ·自己写的一个测温元件(ds18b20)的 ·光纤通信中的SDH数据帧解析及提取的 ·VHDL Programming by Example(McGr ·这是CAN总线控制器的IP核,源码是由 ·FPGA设计的SDRAM控制器,有仿真代码 ·xilinx fpga 下的IDE控制器原代码, ·用verilog写的,基于查表法实现的LO ·精通verilog HDL语言编- up:in STD_LOGIC down:in STD_LOGIC run_stop:in STD_LOGIC wai_t: in std_logic_vector(2 downto 0) lift:in std_logic_vector(2 downto 0) ladd: out std_logic_vector(1 downto 0) ) end control
Platform: | Size: 18683904 | Author: liuzhou | Hits:

[VHDL-FPGA-VerilogVHDLcodes

Description: 给大家分享下一些VHDL的VGA小程序,大家共同学习,如有不足,请指出,便于共同提高。-To share with you some of the VGA small VHDL program, we learn, is insufficient, please point out that to facilitate common.
Platform: | Size: 5115904 | Author: 郭德全 | Hits:

[Other Embeded programserial_to_vga_terminal_AVR

Description: AVR mega8 vga video out fyl serial terminal
Platform: | Size: 5120 | Author: Vasily | Hits:

[Multi MonitorVGA_Pro

Description: 关于VGA编程的基本技术,包含多屏显示和图形图像的发达和缩小显示等-VGA programming on the basic techniques, including multi-screen display and graphic display, developed in and out
Platform: | Size: 1067008 | Author: kiwi | Hits:

[VHDL-FPGA-Verilogfpga-vga

Description: 本设计介绍了一种利用可编程器件FPGA,应用VHDL和Verilog两种语言实现VGA(video graphic array)图像控制器的设计方案,通过采用FPGA(Filed programmable Gate Array)芯片设计和VGA接口将要显示的数据直接送到显示器主要设计出一些重要图像的各个功能模块,并且通过系统仿真软件和FPGA硬件实验板来验证设计结果的正确性。 本设计首先对FPGA芯片和图像的显示原理以及VGA显示器的控制方法做了清晰的阐述,然后在此基础上使用FPGA设计VGA图像的显示控制的各种方案,实现彩条、中文汉字、图形、汉字动画等图像在显示器上的显示,完成各种各样图像的变化,达到设计的基本要求。 -This design has introduced a kind of make use of the programmable device FPGA, the application of the two languages and Verilog VHDL realize VGA (video graphic array) image controller design scheme, by the use of the FPGA (Filed programmable Gate array) chip design and VGA interface that is to be revealed data directly to the main display design out some important image of each function module, and through the system simulation software and hardware board to verify the FPGA design of the accuracy of the results. The design of FPGA chips, and the first image display principle and VGA display control methods of clear paper, and then based on this use of the image and the FPGA design VGA display control solutions, realize the striped, Chinese characters, graphics, animation, and other Chinese characters on a display image display, finish all kinds of image changes, to design the basic demand.
Platform: | Size: 5575680 | Author: 郭晓阳 | Hits:

[VHDL-FPGA-Verilogcvvhhdl_vgao

Description: 彩条信号发生器使用说明使用模块有:VGA接口、脉冲沿模块、时钟源模块。 使用步骤:1.打开电源+5V2.信号连接,按下表将1K30信号与实际模块连接好。3.1K30板板连接好并口线,并将程序源码加载。4.将将彩色显示出来器的线与VGA接口连接好。5.彩条信号就能在显示出来器中产生,通过脉冲沿模块按键MS1能改变产生彩条的 可直接使用。 -The color bar signal generator using the module: VGA interface, the pulse along the module, the clock source module. Use these steps: 1. Turn on the power+5V2. Signal connected to the table below will the 1K30 signal with the actual module connected. 3.1K30 plate board to connect the parallel cable and program source code to load. The color display out of line with the VGA interface of the device is connected. The color bar signal can be displayed, the device in the color bar can be used directly by MS1 change the pulse along the module button.
Platform: | Size: 96256 | Author: 举例 | Hits:

[VHDL-FPGA-VerilogA201001-2186

Description: 频谱分析仪是信号处理研究领域必不可少的工具。现有的基于快速傅利叶变换的频 谱分析仪能对线性的平稳的信号进行有效分析,但难以分析出非线性非平稳信号的瞬时频率 能量变化情况。针对此问题,本文设计了基于希尔伯特黄变换的频谱分析仪,能够对非平稳 信号进行有效分析。所设计系统以DE2-FPGA开发板为硬件平台,结合了NiosII的软核处 理器加以实现,可对采集的外部信号进行希尔伯特黄变换,得到信号的时间-频率-能量三维 谱,并可在VGA上实时显示出来。系统测试结果表明,所设计频谱分析仪对非线性非稳定 信号有很好的效果,与Matlab处理结果保持一致,有效弥补了传统信号分析仪的不足。-Spectrum analyzer is an essential signal processing research tool. Existing analysis can effectively smooth linear signal spectrum analyzer based fast Fourier transform, but it is difficult to analyze the nonlinear and non-stationary signal instantaneous frequency energy changes. To solve this problem, we design a spectrum analyzer based on Hilbert Huang Transform, able to carry out effective analysis of non-stationary signals. The design of the system to DE2-FPGA development board as the hardware platform, combined with the soft-core processor NiosII be achieved, the external signal acquisition can be Hilbert Huang transform, time signal- Frequency- dimensional energy spectrum, and can be displayed in real time on the VGA. System test results show that the design spectrum analyzer nonlinear non-stationary signals have very good results, consistent with the Matlab results, effectively compensate for the shortcomings of traditional signal analyzers.
Platform: | Size: 419840 | Author: 张春竹 | Hits:

[Linux-UnixSTG4000VTG

Description: Pull-out the VGA registers reset. -Pull-out the VGA registers reset.
Platform: | Size: 1024 | Author: jjkonwh | Hits:

[VHDL-FPGA-Veriloggamefour

Description: 这是一个自动售货机程序实现,功能如下:1.按一下button1按钮,表示购买货物A,第一个LED灯亮;按两下button1按钮,表示购买货物B,第二个LED灯亮;按三下button1按钮,表示购买货物C,第三个LED灯亮,同时7段数码管显示所要购买货物的价格。 2.LED灯亮后,开始输入硬币。button2按一下,输入10元,按两下,输入二十元,以此类推;Button3按一下输入5元,按两下输入10元,以此类推;button4按一下输入1元,按两下输入2元,以此类推。7段数码管显示已投入的总钱数,再次按下button1键,7段数码管显示找零数目,同时指示货物的LED灯熄灭。 3.如果投入的钱币不够就按下button1键确认购买,数码管显示“ER”。(vga显示部分有缺陷)-This is a vending machine program implementation, the following functions: 1 Click button1 button to indicate that buy goods A, the first LED lights double-click button1 button to indicate that buy goods B, the second LED lights press three times. button1 button to indicate that buy goods C, the third LED lights, while the 7-segment LED display prices of goods to be purchased. After 2.LED lights, start typing coins. button2 Click, enter $ 10, double-click, enter $ 20 and so on Button3 press the Enter 5 yuan, twice for $ 10, and so on button4 Click Enter $ 1, twice for 2 yuan, and so on. 7-segment display of the total number of money has been invested, press button1 button again, 7-segment display the change number, while indicating the goods LED light goes out. 3. If the money is not enough to put the press button1 button to confirm the purchase, digital display " ER" .
Platform: | Size: 15360 | Author: XiaoLiuMang | Hits:

[VHDL-FPGA-Veriloggamethree

Description: 内嵌BRAM设计LIFO堆栈。功能如下:具有先进后出的堆栈功能。此LIFO堆栈具有两个按键(write, read),按下write键后,开始输入数据data0-data3;按下read键后,7段数码管开始倒序显示data3-data0(十进制)。(内加vga显示数据,不附带图片)-Embedded BRAM design LIFO stack. Function as follows: after having advanced out of the stack functionality. This LIFO stack has two buttons (write, read), press the write key to start entering data data0-data3 press the read key, 7-segment display start descending data3-data0 (decimal). (Within plus vga display data, not with pictures)
Platform: | Size: 9216 | Author: XiaoLiuMang | Hits:

[VHDL-FPGA-VerilogNexys4FFTDemo-master

Description: A simple Verilog example of a 4096pt FFT on analog input from a Nexys 4 XADC. The input is sampled at 1MSPS, oversampled to produce 14-bit samples at 62.5kHz, then sent to the FFT processing modules and passed through to PWM Audio out. The FFT outputs the magnitude for each frequency bin and a histogram of the frequency spectrum is output over VGA video
Platform: | Size: 181248 | Author: jason912 | Hits:

[VHDL-FPGA-VerilogCAR_LI2

Description: DE1-SOC实验开发板和Verilog HDL语言的交互式程序作品,选择避障小车作为课程设计题目,并根据选题制定了如下设计需求: 1.能实现基本的避障小车功能,即躲避障碍,变速,计分,计时显示游戏开始、进行和结束画面; 2.能实现人机交互功能,玩家可通过外接键盘或DE1-SOC开发板自带按键和开关操作小车转向; 3.能通过VGA在显示屏中显示,并且能达到5Hz的刷新频率; 4.能实现自定义小车和障碍物皮肤的功能;(DE1-SOC experiment development board and Verilog HDL language interactive program works. Our group chooses obstacle avoidance car as curriculum design topic, and according to the selected topic, we have designed the following design requirements. 1. can achieve the basic obstacle avoidance car function, that is to avoid obstacles, speed, score, timing display games start, carry out and end the picture; 2. can achieve human-computer interaction function, players can use the external keyboard or DE1-SOC development board to carry button and switch to operate the car. 3. can be displayed in the display screen by VGA, and can reach the refresh frequency of 5Hz. 4. can realize the function of the custom car and the obstacle skin.)
Platform: | Size: 21147648 | Author: Sven111 | Hits:

CodeBus www.codebus.net