Welcome![Sign In][Sign Up]
Location:
Search - VHDL code of hamming code

Search list

[Other resourceVHDL范例

Description: 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(注2) 汉明纠错吗译码器 三态总线(注2) 汉明纠错吗编码器 解复用器 -highest priority encoder, compared to eight for phase three of the vote (the description of three different ways) Adder Description eight bus Transceivers : 74,245 (Note 2) address decoder (for m68008) Multiple choice (use select statement) LED paragraph 107 of decoding multiple choice ( use if-else statements) 2-4 dual decoder : over 74,139 road choice (use when-else statements) of the binary conversion BCD multiple choice (use case statement) binary Gray code conversion to a two-way bus (Note 2)? Hamming error correction decoder three-state Bus (Note 2)? Hamming error correction encoder demultiplexer
Platform: | Size: 42884 | Author: kerty | Hits:

[SCMVHDL范例

Description: 最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(注2) 汉明纠错吗译码器 三态总线(注2) 汉明纠错吗编码器 解复用器 -highest priority encoder, compared to eight for phase three of the vote (the description of three different ways) Adder Description eight bus Transceivers : 74,245 (Note 2) address decoder (for m68008) Multiple choice (use select statement) LED paragraph 107 of decoding multiple choice ( use if-else statements) 2-4 dual decoder : over 74,139 road choice (use when-else statements) of the binary conversion BCD multiple choice (use case statement) binary Gray code conversion to a two-way bus (Note 2)? Hamming error correction decoder three-state Bus (Note 2)? Hamming error correction encoder demultiplexer
Platform: | Size: 43008 | Author: kerty | Hits:

[VHDL-FPGA-VerilogVHDL

Description: VHDL程序集锦,很多有用程序,英文版其中有汉明码编译码,优先译码等等。-VHDL Collection procedures, many useful procedures, the English version of them hamming code encoding and decoding, the priority decoder and so on.
Platform: | Size: 168960 | Author: 萍果 | Hits:

[Other7

Description: (7,4)汉明码的编码程序,实现4位信息位后加三位监督位,组成7位码组-(7,4) hamming code encoding process, realize information-bit 4 after three supervision, and composed of seven yards Group
Platform: | Size: 1024 | Author: Hargie | Hits:

[VHDL-FPGA-Verilog74HammingCode

Description: 用VHDL语言编写的可以实现(7,4)汉明码编解码的程序。-Using VHDL language can be achieved (7,4) Hamming Code Codec procedures.
Platform: | Size: 677888 | Author: 王磊 | Hits:

[source in ebookHammingDecoder

Description: -- Hamming Decoder -- This Hamming decoder accepts an 8-bit Hamming code (produced by the encoder above) and performs single error correction and double error detection. -- download from: www.pld.com.cn & www.fpga.com.cn LIBRARY ieee USE ieee.std_logic_1164.ALL ENTITY hamdec IS PORT(hamin : IN BIT_VECTOR(0 TO 7) --d0 d1 d2 d3 p0 p1 p2 p4 dataout : OUT BIT_VECTOR(0 TO 3) --d0 d1 d2 d3 sec, ded, ne : OUT BIT) --diagnostic outputs END hamdec ARCHITECTURE ver1 OF hamdec IS BEGIN --- Hamming Decoder -- This Hamming decoder accepts an 8-bit Hamming code (produced by the encoder above) and performs single error correction and double error detection. -- download from: www.pld.com.cn & www.fpga.com.cn LIBRARY ieee USE ieee.std_logic_1164.ALL ENTITY hamdec IS PORT(hamin : IN BIT_VECTOR(0 TO 7) --d0 d1 d2 d3 p0 p1 p2 p4 dataout : OUT BIT_VECTOR(0 TO 3) --d0 d1 d2 d3 sec, ded, ne : OUT BIT) --diagnostic outputs END hamdec ARCHITECTURE ver1 OF hamdec IS BEGIN
Platform: | Size: 4096 | Author: djs | Hits:

[3G developHANMINGMA

Description: 7,4汉明码的编译码原理,用VHDL语言实现的,需要的请下载-Hamming code encoding and decoding of 7,4 principle, using VHDL language and the clear need to download
Platform: | Size: 1024 | Author: mayuan | Hits:

[VHDL-FPGA-Veriloghamming

Description: VHDL 实现的海明码编码和校验,可以报错,并且修改错误位,有错误类型码,已包含引脚分配和测试波形-VHDL implementation of the Hamming code and check code can be error, and modify the wrong place, wrong type of code is included pin assignment and test waveform
Platform: | Size: 1075200 | Author: 王晓龙 | Hits:

[Windows DevelopVVHDDLexampllH

Description: VHDL的49个源码实例,源码实例丰富,有计数器、状态机机、寄存器、汉明纠错码编码器、游戏源码程序源码 -49 of VHDL source instance, the source instance, counters, state machines machine registers, the Hamming error correction code encoder, the game source program source code
Platform: | Size: 45056 | Author: CerisTao | Hits:

[VHDL-FPGA-Veriloghamming

Description: 32位海明码编码解码的vhdl代码,有testbench验证。-32 Hamming code encoding and decoding of vhdl code, testbench verification.
Platform: | Size: 143360 | Author: wangyingstu | Hits:

[Otherefy-hammingcode

Description: Design of hamming code using VHDL
Platform: | Size: 214016 | Author: Humza | Hits:

CodeBus www.codebus.net