Welcome![Sign In][Sign Up]
Location:
Search - adc0809

Search list

[VC/MFCADC0808

Description: 编写C语言代码 实现ADC0809 模拟信号转化成数字信号 并使用Proteus进行仿真-The preparation of C language code implementation ADC0809 analog signal into digital signal and the use of Proteus simulation
Platform: | Size: 50176 | Author: 黄林 | Hits:

[assembly languageADC0809Basic

Description: at89c51 ADC0809 Basic
Platform: | Size: 1024 | Author: asfgrd | Hits:

[SCMAD

Description: ADC0809单通道电压转换+1602液晶显示-Single-channel voltage converter ADC0809 LCD+1602
Platform: | Size: 14336 | Author: 冯业明 | Hits:

[VHDL-FPGA-VerilogADC0809VHDL

Description: 文件名:ADC0809.vhd功能:基于VHDL语言,实现对ADC0809简单控制说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟号,这里由FPGA的系统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。-File name: ADC0809.vhd features: Based on the VHDL language, easy to control implementation of the ADC0809 Description: ADC0809 internal clock does not need external 10KHz ~ 1290Hz clock number, here by the FPGA system clock (50MHz) frequency by 256 points to be clk1 (195KHz ) as the conversion ADC0809 clock job.
Platform: | Size: 1024 | Author: 王远东 | Hits:

[VHDL-FPGA-VerilogADCINT

Description: adc0809用FPGA控制的采样非常好用的实例 自己看书后终结的-ADC0809
Platform: | Size: 83968 | Author: weibao | Hits:

[SCMADC0809

Description: AD0809做的电压表 有这方面需要的可以参考参考-AD0809
Platform: | Size: 58368 | Author: WEI | Hits:

[VHDL-FPGA-VerilogADC0809

Description: 用状态机对A/D转换器0809的采样控制电路的实现。工具:Quartus ii 6.0 语言:VHDL-State machine used for A/D converter sampling control circuit 0809 is achieved. Tools: Quartus ii 6.0 Language: VHDL
Platform: | Size: 46080 | Author: 杨晴飞 | Hits:

[Embeded-SCM Developadc0809voltage

Description: 利用ADC0809将外部的电压模拟量转换为数字量,再送到12864显示-Use of external voltage ADC0809 analog to digital conversion, and then to the 12864 show
Platform: | Size: 21504 | Author: 余伟 | Hits:

[SCMADC0809

Description: 通过AD0809将输入的模拟量转化为数字量, 通过调节电位器来改变模拟电压输入,通过数码管显示电压的变化-Through the AD0809 analog input into digital, By adjusting the potentiometer to change the analog voltage input, digital display voltage changes
Platform: | Size: 13312 | Author: 史叔伍 | Hits:

[VHDL-FPGA-VerilogADC0809

Description: ADC0809 VHDL控制程序实现芯片的控制与数据读取-ADC0809 VHDL control program
Platform: | Size: 4096 | Author: wang | Hits:

[SCMAD-LCD-DA

Description: 通过adc0809采集电压,在LCD液晶屏上显示,并输出到DAC0832-Adc0809 collected through the voltage on the LCD screen in the LCD display, and output to DAC0832
Platform: | Size: 143360 | Author: zhenghuaicheng | Hits:

[VHDL-FPGA-VerilogsomethingaboutADC0809

Description: 8.4 ADC0809接口电路及程序设计 ADC0808/ADC0809资料; 基于VerilogHDL的ADC0809采样控制器设计; 基于VHDL语言的A_D采样控制器设计。 -8.4 ADC0809 interface circuit and program design ADC0808/ADC0809 information ADC0809 based on the sampling VerilogHDL controller design A_D language VHDL-based controller design for sampling.
Platform: | Size: 973824 | Author: 冯光 | Hits:

[Other Embeded programAD

Description: ADC0809的接口测试程序。写得很好,大家学习一下。-ADC0809 interface test procedure. Was very well written, we learn about.
Platform: | Size: 1024 | Author: yy | Hits:

[VHDL-FPGA-Verilogmotorcontrol(vhdl)

Description: 基于FPGA的直电机伺服系统的设计的代码,VHDL语言。包括前馈控制,AD1674控制模块,ADC0809控制模块,前馈控制模块,分频模块等。-FPGA-based servo system direct the design of the electrical code, VHDL language. Including feed-forward control, AD1674 control module, ADC0809 control module, feed-forward control module, such as sub-frequency modules.
Platform: | Size: 6144 | Author: dong | Hits:

[Embeded-SCM Develop32434

Description: ADC0809与51单片机接口电路及应用程序-ADC0809 with 51 single-chip interface circuits and applications
Platform: | Size: 4096 | Author: | Hits:

[Embeded-SCM Develop0809chengxu

Description: AD0809程序,ADC0809 是8 位逐次逼近型A/D转换器。它由一个8路模拟开关、一个地址锁存译码   器、一个A/D 转换器和一个三态输出锁存器组成(见图1)。多路开关可选通8个模拟通道,   允许8 路模拟量分时输入,共用A/D 转换器进行转换。三态输出锁器用于锁存A/D 转换完   的数字量,当OE 端为高电平时,才可以从三态输出锁存器取走转换完的数据。-AD0809 procedures, ADC0809 is an 8-bit successive approximation type A/D converter. It consists of an 8-channel analog switch, a latch address decoder, an A/D converter and a three-state output latch components (see Figure 1). Optional multi-pass switches 8 analog channels, allowing the volume of time 8-channel analog input, sharing of A/D converter to convert. Three-state output latch lock is used A/D conversion of the digital end, when OE is high-end only when the output from the tri-state latch data taken after the conversion.
Platform: | Size: 129024 | Author: linmiao | Hits:

[Software Engineeringdso

Description: 使用VHDL语言编写的简易数字存储示波器,用MAX+PlusII仿真验证。VHDL编写了采样、存储写、存储读和显示4个模块。采样使用ADC0809,存储器使用6264,显示使用DAC0832。-The design of the chip as a high-speed signal ADC0809 the A/D converter, SRAM6264 memory for data storage after sampling, DAC0832 chip as a signal of D/A conversion. Programming using ultra-high-speed hardware description language VHDL description of its A/D conversion, A/D sampling controller and data storage, digital output programming, simulation, the completion of the design of hardware and software, as well as some of the experimental prototype debugging
Platform: | Size: 502784 | Author: 兰江营 | Hits:

[OtherVoltageMeter

Description: AT89C52+ADC0809+Proteus仿真原理图,实现数字电压表-AT89C52+ ADC0809+ Proteus schematic simulation, digital voltage meter
Platform: | Size: 254976 | Author: 乌木 | Hits:

[SCMADC0809AD

Description: 从ADC0809的通道IN3输入0-5V之间的模拟量,通过ADC0809转换成数字量在数码管上以十进制形成显示出来。ADC0809的VREF接+5V电压-ADC0809 channel from the IN3 input between 0-5V analog through digital ADC0809 converts the digital control on the form displayed in decimal. ADC0809 then the VREF voltage+5 V
Platform: | Size: 24576 | Author: lian | Hits:

[Documentsadc0809

Description: 文章介绍了用AT89S8252单片机的串行接口与智能温度巡回检测仪(XJ-08S)通过RS—485总线相互通讯实现热水温度远程显示的一种低成本解决方案,内容涉及RS—485总线通讯、单片机驱动数码管显示、数据转换以及键盘处理软硬件设计等内容-Automatic process control is concerned with maintaining process variables temperatures pressures flows compositions, and the like at some desired operation value. Processes are dynamic in nature.
Platform: | Size: 208896 | Author: lihui | Hits:
« 1 2 ... 6 7 8 9 10 1112 13 14 15 16 ... 50 »

CodeBus www.codebus.net