Welcome![Sign In][Sign Up]
Location:
Search - altera_up_avalon_ps2

Search list

[Other resourcealtera_up_avalon_ps2

Description: 花了半个月才改好的Atera DE1/DE2 ps2 IP 驱动核。放在FPGA工程目录下可以直接使用。本IP能够驱动PS/2键盘和鼠标。使用时只要调用HAL目录下的文件即可以直接使用!
Platform: | Size: 27670 | Author: 王乔 | Hits:

[Other resourcealtera_up_avalon_ps2

Description: 用于sopc builder添加组件用的ps/2 键盘 ipcore
Platform: | Size: 212281 | Author: Morgan | Hits:

[VHDL-FPGA-Verilogaltera_up_avalon_ps2

Description: 花了半个月才改好的Atera DE1/DE2 ps2 IP 驱动核。放在FPGA工程目录下可以直接使用。本IP能够驱动PS/2键盘和鼠标。使用时只要调用HAL目录下的文件即可以直接使用!-Spent a good two weeks we have made some changes Atera DE1/DE2 ps2 IP-driven nuclear. On the FPGA project directory can be used directly. The IP to drive PS/2 keyboard and mouse. When used as long as the call HAL directory file that can be used directly!
Platform: | Size: 27648 | Author: 王乔 | Hits:

[VHDL-FPGA-Verilogaltera_up_avalon_ps2

Description: 用于sopc builder添加组件用的ps/2 键盘 ipcore-Sopc builder used to add components used ps/2 keyboard IPCore
Platform: | Size: 211968 | Author: Morgan | Hits:

[Embeded-SCM Developaltera_up_avalon_ps2

Description: 基于niosii 的ps2鼠标开发应用实例-Based on the ps2 mouse niosii Application Development
Platform: | Size: 194560 | Author: boy | Hits:

[VHDL-FPGA-Verilogaltera_up_avalon_ps2

Description: Altera公布的大学计划中基于VHDL的ps2的IP核!-Altera University Program announced VHDL-based IP core of the ps2!
Platform: | Size: 212992 | Author: 兔子 | Hits:

[VHDL-FPGA-VerilogAltera_UP_Avalon_PS2

Description: Altera_PS2逻辑与驱动程序,SOPC里面可以直接应用-Altera_PS2 logic and driver, SOPC which can be applied directly
Platform: | Size: 6144 | Author: 七十二变 | Hits:

[VHDL-FPGA-VerilogLCD_PS2

Description: DE2的鼠标IP核的完整套件。使用altera_up_avalon_ps2。有lcd-Mouse IP core DE2 complete package. Use altera_up_avalon_ps2. There lcd
Platform: | Size: 13129728 | Author: 海到无涯 | Hits:

CodeBus www.codebus.net