Welcome![Sign In][Sign Up]
Location:
Search - barrel shifter VH

Search list

[Other resourceShifters_vhdl

Description: -- Title : Barrel Shifter (Pure combinational) -- This VHDL design file is an open design you can redistribute it and/or -- modify it and/or implement it after contacting the author -- You can check the draft license at --- Title : Barrel Shifter (Pure combinational) -- This VH DL design file is an open design you can redistri bute it and / or -- modify it and / or implement it a fter contacting the author -- You can check the d raft license at
Platform: | Size: 2025 | Author: 陈朋 | Hits:

[VHDL-FPGA-VerilogShifters_vhdl

Description: -- Title : Barrel Shifter (Pure combinational) -- This VHDL design file is an open design you can redistribute it and/or -- modify it and/or implement it after contacting the author -- You can check the draft license at --- Title : Barrel Shifter (Pure combinational)-- This VH DL design file is an open design you can redistri bute it and/or-- modify it and/or implement it a fter contacting the author-- You can check the d raft license at
Platform: | Size: 2048 | Author: 陈朋 | Hits:

[VHDL-FPGA-Verilogbarrel_shifter

Description: VHDL实现的桶型移位器,能在一个时钟周期实现对数据的(0-12位)算术右移-VHDL implementation of a barrel—shifter, able to achieve at one clock cycle of data (0-12 bit) Arithmetic Shift Right
Platform: | Size: 1024 | Author: 过时无双 | Hits:

CodeBus www.codebus.net