Welcome![Sign In][Sign Up]
Location:
Search - ca_gen

Search list

[Other resourceCA_GEN

Description: 这是GPS接收机,基带处理模块中CA码产生模块设计代码,用于码跟踪环。代码设计巧妙,采用了后台机配合的方式,可以灵活产生各卫星的PN码,节约了触发器资源-This is the GPS receiver, Baseband Processing Module CA code generator module design code for the code tracking loop. Code so cleverly designed and adopted a background with the plane, the flexibility to produce satellites PN code, saving resources Trigger
Platform: | Size: 3003 | Author: 吴飞 | Hits:

[GPS developCA_GEN

Description: 这是GPS接收机,基带处理模块中CA码产生模块设计代码,用于码跟踪环。代码设计巧妙,采用了后台机配合的方式,可以灵活产生各卫星的PN码,节约了触发器资源-This is the GPS receiver, Baseband Processing Module CA code generator module design code for the code tracking loop. Code so cleverly designed and adopted a background with the plane, the flexibility to produce satellites PN code, saving resources Trigger
Platform: | Size: 3072 | Author: 吴飞 | Hits:

[VHDL-FPGA-Verilogca_gen

Description: 此Verilog程序产生用于GPS卫星导航信号的C/A码,输入信号有时钟、时钟使能、复位、给定的卫星编号,输出产生的C/A码。此程序在代码上进行优化,占用了更少的资源。-This procedure generated Verilog for the GPS satellite navigation signals C/A code, the input signal with the clock, clock enable, reset, given the satellite number, the output generated C/A code. This procedure carried out in the code optimization, take up fewer resources.
Platform: | Size: 1024 | Author: 李殿为 | Hits:

[matlabca_gen

Description: matlab code for generating GPS C/A code
Platform: | Size: 1024 | Author: avrupa | Hits:

CodeBus www.codebus.net