Welcome![Sign In][Sign Up]
Location:
Search - chuzhuche2

Search list

[VHDL-FPGA-Verilogchuzhuche2

Description: VHDL语言设计的出租车计费器,能模拟汽车启动、停止、暂停、车速等状态,能预置起步费、每公里收费、车行加费里程,能实现计费功能。功能强大,初学者适合看一看。-VHDL language design taxi billing, and can simulate the vehicle to start, stop, pause, speed, etc., and to preset the initial charges, fees and charges per kilometer, plus car mileage charges, billing functions to achieve. Powerful and suitable for beginners to look at.
Platform: | Size: 1896448 | Author: 阿Q | Hits:

CodeBus www.codebus.net