Welcome![Sign In][Sign Up]
Location:
Search - fpga 18B20

Search list

[VHDL-FPGA-VerilogDS18B20+VHDL

Description: 用VHDL语言实现的控制DS18B20构成测温仪表的程序,包含了全部代码,可显示最高精度-with VHDL control DS18B20 constitute Thermometer procedures, contains all the code will show that the most high-precision
Platform: | Size: 818176 | Author: 刘西圣 | Hits:

[VHDL-FPGA-Verilogds18b20vddl

Description: 传感器ds18b20 与 FPGA 通信 实现方式-Sensor DS18B20 way communication with the FPGA
Platform: | Size: 1024 | Author: 石平政 | Hits:

[VHDL-FPGA-Verilogtemperature

Description: 基于VHDL控制的DS18B20温度测量程序,精确到小数点后两位,在实验板上通过;-VHDL-based control procedures DS18B20 temperature measurement, accurate to two decimal places, the board adopted in the experiment
Platform: | Size: 2048 | Author: liao | Hits:

[VHDL-FPGA-VerilogDS18B20

Description: 用VHDL写的DS18B20温度采集程序,QuartusII的完整工程,控制灵活,易扩展-Using VHDL written DS18B20 temperature acquisition procedures, QuartusII complete projects, flexible control and easy expansion
Platform: | Size: 100352 | Author: wanyou | Hits:

[VHDL-FPGA-VerilogDS18b20

Description: 这是一个工业用的普通温度传感器DS18b20的VHDL文件,直接可用,可为FPGA的其他逻辑模块或者Nios提供接口,其输出为18b20的11位温度暂存器的值。-This is a common logic module for DS18b20 which can provides parallel outputs for Nios II or other internal units of FPGA.
Platform: | Size: 2048 | Author: 刘伟 | Hits:

[VHDL-FPGA-Verilog61EDA_D994

Description: 基于FPGA的 温度传感器 DS18B20接口设计-FPGA DS18B20
Platform: | Size: 3072 | Author: 碧雪情空 | Hits:

[source in ebookds18b20

Description: 艾米电子FPGA18b20的verilog源代码-aimi stdio fpga
Platform: | Size: 72704 | Author: 王萍 | Hits:

[VHDL-FPGA-VerilogDS18B20

Description: 基于FPGA的应用VHDL编写18b20的程序-Application of VHDL-based FPGA program written 18b20
Platform: | Size: 606208 | Author: 紫云 | Hits:

[VHDL-FPGA-Verilogtemperature

Description: 使用FPGA控制18B20达到温度采集过程,并显示在数码管上。-Achieved using the FPGA control 18B20 temperature acquisition process and display the digital pipe.
Platform: | Size: 2048 | Author: 彭杨 | Hits:

[VHDL-FPGA-VerilogDS18B20_ysd

Description: 18b20 verilog fpga ep3c10e
Platform: | Size: 1956864 | Author: lili | Hits:

[VHDL-FPGA-Verilogfpga

Description: 18b20基于fpga对温度进行数码管的显示-18b20 fpga
Platform: | Size: 8098816 | Author: shihao | Hits:

[VHDL-FPGA-VerilogFPGA18B20

Description: 通过fpga 18b20 测量温度,内容用的是xilinx fpga编程软件-temp fpga
Platform: | Size: 1293312 | Author: 王学文 | Hits:

[VHDL-FPGA-VerilogDS18B20

Description: 实现FPGA读取18B20温度,利用单线总线协议(Realizing FPGA to read 18B20 temperature)
Platform: | Size: 93184 | Author: 朽木生 | Hits:

CodeBus www.codebus.net