Welcome![Sign In][Sign Up]
Location:
Search - fpga elevator vhdl

Search list

[VHDL-FPGA-VerilogDEMO22

Description: VHDL源程序,MAXPLUS 环境下运行,电梯控制系统-VHDL source code, under Operation Converter, elevator control system
Platform: | Size: 598016 | Author: liu | Hits:

[File Formatte3560

Description: 基于VHDL语言的实用电梯控制器的设计 -based on VHDL practical elevator controller design based on VHDL practical Elevator Controller VHDL design based on the practical design of the elevator controller based on VHDL practical elevator controller design
Platform: | Size: 94208 | Author: | Hits:

[assembly languagelift

Description: 用fpga控制电梯,实现五层电梯的升降控制,运用vhdl编辑程序.-Using FPGA to control the lift, the realization of five-story elevator landing and take-off control, the use of VHDL editing process.
Platform: | Size: 275456 | Author: 郭翠双 | Hits:

[VHDL-FPGA-Verilogdianti

Description: vhdl代码: 电梯控制器程序设计与仿真!初学fpga者可以参考参考!!比较简单-VHDL code: Elevator controller design and simulation program! FPGA beginner who can refer to reference! ! Relatively simple
Platform: | Size: 162816 | Author: daxiadian2 | Hits:

[VHDL-FPGA-Verilogdianti

Description: 以FPGA技术为基础,以VHDL为语言,以QuartusII为工具,设计一个5层楼的电梯控制器-To FPGA technology, to VHDL language to QuartusII as a tool to design a 5-story elevator controller
Platform: | Size: 2048 | Author: linyao | Hits:

[VHDL-FPGA-VerilogElevator

Description: 基于FPGA的6层电梯控制器,使用VHDL编程,用quartus ii进行仿真模拟-Elevator Controller
Platform: | Size: 162816 | Author: xieyi | Hits:

[VHDL-FPGA-Veriloglift.vhd

Description: 用VHDL实现了电梯的模拟程序,实现了自动判断楼层,然后根据客户需求和楼层最近原则,实现自动判断上下行,还有报警,强制开门等功能-Achieved using VHDL elevator simulation program, to determine the realization of an automatic floor, and then based on the principle of demand and the floor recently, automatically determine the next line, as well as alarm, forced open the door and other features ~ ~
Platform: | Size: 1024 | Author: 董灏 | Hits:

[VHDL-FPGA-VerilogFPGA_SOPC

Description: FPGA/SOPC开发快速入门教程,FPGA 在复杂逻辑电路以及数字信号处理领域中扮演者越来越重要的角色,SOC(片上系统)以其低 功耗,高性能,低成本,高可靠性等优点成为嵌入式系统的发展趋势。作-QUARTUS II platform based on the VHDL language elevator system control procedures.
Platform: | Size: 1512448 | Author: 蔡秀雄 | Hits:

[VHDL-FPGA-Verilogdianti

Description: FPGA的电梯控制程序,用vhdl语言实现电梯的控制的代码-FPGA elevator control program, using vhdl language implementation code for the control of the elevator
Platform: | Size: 3072 | Author: 萤火虫 | Hits:

[Software EngineeringVHDL_fire_alarm_detection

Description: vhdl source code of fire detection system/fire alarm system especially for high rise building? This among the requirement :- according to my "fire detection system for tall building" project by using Spartan 3E FPGA, the vhdl program need to include all of dis specification:- First of all, we ll put sensor/smoke detector each floor in the tall building. 1) alarm ll activated if the sensor/smoke detector sense a fire 2)at the same time, the actuator will activate in order to control such a elevator and any other machines in the building. 3)the controller will display which floor caught by fire and the sensor/smoke detector initial or current temperature. 4)If there is false alarm, we can stop it by push the reset button .-vhdl source code of fire detection system/fire alarm system especially for high rise building? This is among the requirement :- according to my "fire detection system for tall building" project by using Spartan 3E FPGA, the vhdl program need to include all of dis specification:- First of all, we ll put sensor/smoke detector each floor in the tall building. 1) alarm ll activated if the sensor/smoke detector sense a fire 2)at the same time, the actuator will activate in order to control such a elevator and any other machines in the building. 3)the controller will display which floor caught by fire and the sensor/smoke detector initial or current temperature. 4)If there is false alarm, we can stop it by push the reset button .
Platform: | Size: 1024 | Author: subin | Hits:

[VHDL-FPGA-VerilogVHDL-dianti

Description: 高楼电梯自动控制系统(Windows平台上运行的ispLEVER编程软件。 ): 1统控制的电梯往返于1-9层楼。 2客要去的楼层数可手动输入并显示(设为A数)。 3梯运行的楼层数可自动显示(设为B数)。 4A>B时,系统能输出使三相电机正转的时序信号,使电梯上升; 当A<B时,系统能输出使三相电机反转的时序信号,使电梯下降; 当A=B时,系统能输出使三相电机停机的信号,使电梯停止运行并开门; 5是上升还是下降各层电梯门外应有指示,各层电梯门外应有使电梯上升或下降到乘客所在楼层的控制开关。 注:此为word文档,但里面有源代码。-High-rise elevator control system (Windows platform programming software running on the ispLEVER. ): An elevator control system and from 1-9 floors. 2, the number of passengers going to the floor can manually enter and display (Make A number). 3 ladder run automatically display the number of floors (Set B number). 4A> B, the system can output three-phase motor is transferred to the timing signal to lift up When A <B, the system can output three-phase motor to reverse the timing signal to the lift down When A = B, the system can output a signal to shut down three-phase motor, so that the lift stops and open the door 5 is increasing or decreasing the lift on each floor outside the door should be directed, due to lift on each floor outside the elevator up or down to the floor where the passenger control switch. Note: This is a word document, but inside the source code.
Platform: | Size: 34816 | Author: | Hits:

[VHDL-FPGA-VerilogControllingElevatorbyFPGACode.txt

Description: This code is talk about how to programming FPGA to control Elevator.
Platform: | Size: 3072 | Author: N | Hits:

[VHDL-FPGA-VerilogFPGA

Description: 主要介绍VHDL下,电子时钟、LCD、LED、电子琴,电梯等开发程序。-Introduces the VHDL, the electronic clock, LCD, LED, keyboard, elevator and other development programs.
Platform: | Size: 13878272 | Author: huizeng | Hits:

[VHDL-FPGA-Verilogdiantikongzhixiqi2

Description: 基于FPGA的电梯控制器。其中一共分为3部分,1、显示电路的VHDL程序。2、9层电梯控制器主体的程序。3、顶层模块设计程序-The elevator control system based on FPGA
Platform: | Size: 18432 | Author: shanelai | Hits:

[VHDL-FPGA-Verilogautomatic-elevator

Description: 使用VHDL语言编写的基于FPGA的自动升降电梯控制器-VHDL language using FPGA-based controller of automatic elevators
Platform: | Size: 196608 | Author: riluonanshan | Hits:

[VHDL-FPGA-Verilogvhdl

Description: 用FPGA实验台下载实现的简易电梯控制系统,-FPGA test-bed with a simple download to achieve the elevator control system,
Platform: | Size: 353280 | Author: dowson | Hits:

[VHDL-FPGA-VerilogVHDL

Description: 基于FPGA的六层电梯控制器系统,-FPGA-based six-story elevator controller system,
Platform: | Size: 8192 | Author: shuolei | Hits:

[VHDL-FPGA-Verilogelevator_fpga

Description: 基于VHDL的FPGA设计,设计一个4层楼的电梯控制系统。-VHDL-based FPGA design, design elevator control system of a four-storey building.
Platform: | Size: 627712 | Author: shiyan chen | Hits:

CodeBus www.codebus.net