Welcome![Sign In][Sign Up]
Location:
Search - frequency measure VHDL

Search list

[VHDL-FPGA-Verilogpinlvji

Description: 简单的数字频率计,source为输入,可以测量其频率,在maxplux中使用,需要标准的1hz时钟信号。-Simple digital frequency meter, source for the input, can measure their frequencies maxplux use, the need for standards 1Hz clock signal.
Platform: | Size: 6144 | Author: xzy | Hits:

[VHDL-FPGA-VerilogBasedonVHDLdesigndigitalfrequencyof

Description: 本文用VHDL在CPLD器件上实现一种8 b数字频率计测频系统,能够用十进制数码显示被测信号的频率,不仅能够测量正弦波、方波和三角波等信号的频率,而且还能对其他多种物理量进行测量。具有体积小、可靠性高、功耗低的特点。-In this paper, VHDL in the CPLD device to achieve a 8 b digital frequency meter measuring frequency system that used the decimal digital display of measured signals in the frequency, not only to measure sine wave, square wave and triangular wave signals such as frequency, but also to other a variety of physical measurements. Small size, high reliability, low power consumption characteristics.
Platform: | Size: 224256 | Author: xiaoju | Hits:

[VHDL-FPGA-Verilogdds

Description: 实现数字频率合成。能产生任意频率的正弦信号、方波信号、梯形波等,并且能对信号的频率进行测量。-Digital frequency synthesizer. Can generate any frequency sinusoidal signal, square-wave signal, a trapezoidal wave, etc., and can measure the frequency of the signal.
Platform: | Size: 278528 | Author: 吴健 | Hits:

[VHDL-FPGA-Verilogfreqm

Description: 以CPLD器件EPM7128SLC84-15为核心实现的简易数字频率计,采用在一定时间内对数字脉冲计数的方法,可直接测量TTL电平的数字脉冲信号的频率、周期和脉宽。其他一些信号可经过信号预处理电路变换后测量。 量程:1Hz~999999Hz 输入信号:(1)TTL电平数字脉冲信号;(2)方波/正弦波,幅度0.5~5V 显示:七段数码管显示频率(Hz)和周期/脉宽(us) 控制:两个拨码开关切换三种工作模式:测频率,测周期,测脉宽-Frequency Counter realized with Altera EPM7128SLC84-15. It can measure frequecy, cycle and pulse width of TTL sigals.
Platform: | Size: 1053696 | Author: tom | Hits:

[VHDL-FPGA-VerilogSAMP_RATE

Description: this VHDL program can count and measure a time of high-level of a signal by a high-frequency refrence signal.
Platform: | Size: 1024 | Author: Taher Aghazadeh | Hits:

[assembly languageplj

Description: 这是一个频率计的源代码,用的是VHDL语言设计的,能够测量0-20KHZ的频率!-This is a frequency meter of the source code, using the VHDL language design, can measure 0-20KHZ frequency!
Platform: | Size: 4586496 | Author: biao | Hits:

[VHDL-FPGA-Verilogspeed_measure_on_7_segment

Description: Period method of frequency measuring (change constant to speed measure). DE2 Board Quartus project. Input signal on GPIO, result on 7seg, start/stop with key[0].
Platform: | Size: 40960 | Author: shaitan | Hits:

[Otherfrequencymeter

Description: 测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。-Measuring the frequency of means, including electronic counter to measure frequencies with high precision, easy to use, fast measurement and easy to realize the advantages of automated measurement process is an important means of frequency measurement.
Platform: | Size: 14336 | Author: wangzhen | Hits:

[VHDL-FPGA-VerilogFREQENCYrar

Description: 这是用DDS原理实现的频率计,能够测量1到999999HZ的待测信号,包括VHDL源程序以及成型的BDF文件。-This is achieved with a frequency meter DDS principle, can measure a signal under test to 999999HZ, including VHDL source code, as well as forming the BDF file.
Platform: | Size: 1400832 | Author: WANGLINGLING | Hits:

[VHDL-FPGA-Verilog2010011022

Description: 在电子领域内,频率是一种最基本的参数,并与其他许多电参量的测量方案和测量结果都有着十分密切的关系。由于频率信号抗干扰能力强、易于传输,可以获得较高的测量精度。因此,频率的测量就显得尤为重要,测频方法的研究越来越受到重视。   频率计作为测量仪器的一种,常称为电子计数器,它的基本功能是测量信号的频率和周期频率计的应用范围很广,它不仅应用于一般的简单仪器测量,而且还广泛应用于教学、科研、高精度仪器测量、工业控制等其它领域。在数字电路中,数字频率计属于时序电路,它主要由具有记忆功能的触发器构成。在计算机及各种数字仪表中,都得到了广泛的应用。在CMOS电路系列产品中,数字频率计时量程最大、品种很多的产品,是计算机、通讯设备、音频视频的科研生产领域不可缺少的测量仪器,并且与许多电参量的测量方案、测量结果都有十分密切的关系。因此,频率的测量就显得更为重要。 本设计设计6位十六进制频率计,以触发器和计数器为核心,由信号输入、触发、计数、数据处理和数据显示等功能模块组成。本次采用QuartusII的宏元件和VHDL语言设计两种方法来设计6位十六进制频率计,提高了测量频率的范围。 -In the electronics field, the frequency is a basic parameter, and with many other electrical parameters of the measurement program and the measurement results have a very close relationship. Since the frequency signal anti-interference ability, easy to transport, you can get higher accuracy. Therefore, the frequency of measurement is particularly important, frequency measurement method of getting attention. Frequency meter as a measuring instrument, often referred to as electronic counter, and its basic function is to measure the signal frequency and cycle frequency meter wide range of applications, it is not only used simple instruments to measure the general, but also widely used in teaching , scientific research, high-precision instrumentation, industrial control and other areas. In digital circuits, digital frequency meter are sequential circuits, it is mainly the trigger memory function by a composition. In the computer and various digital instruments, have been widely used. Prod
Platform: | Size: 611328 | Author: 程琳 | Hits:

[VHDL-FPGA-Verilogfre

Description: 频率计的VHDL代码,实验课验证过的,能测量0-99999999hz的频率,并且超过上下限会报警。-Frequency counter in VHDL code, lab verified, can measure 0-99999999hz frequency, and over the limit will alarm.
Platform: | Size: 10240 | Author: mountain | Hits:

[VHDL-FPGA-Verilogplj

Description: 这是一个利用VHDL编写的简易数字频率计,它所能够测量的频率范围为:0~50MHZ,当被测量信号的频率越大则它的精度越高。-This is a simple written using VHDL digital frequency meter, it can measure the frequency range: 0 ~ 50MHZ, when the frequency of the signal being measured is greater the higher its accuracy.
Platform: | Size: 262144 | Author: | Hits:

[VHDL-FPGA-Verilogfpga

Description: 利用超高速硬件描述语言(VHDL)在现场可编程逻辑门阵列(FPGA)上编程实现的纯数字式等精度频率计,不但具有较高的测量精度,而且其测量精度不会随着被测信号频率的降低而下降。为了实现对任意信号进行频率测量,在前端输入加整形电路即可。-The cymoneter that be implemented with using the VHDL (Very High Speed Integrated Hardware Description Language) to program into the FPGA (Field Programmable Gate Array )chip,it not only has high precision,but also its precision do not decrease with measured-frequency’ s becoming lower. In order to measure any signal,it put a reshaping circuit at the front-end.
Platform: | Size: 35840 | Author: 张新 | Hits:

[VHDL-FPGA-Verilogpinlvji_VHDL

Description: 可以测量方波的频率,脉宽,幅度。采用VHDL编写。-Can measure the frequency, pulse width, amplitude of the square wave. Written using VHDL.
Platform: | Size: 8244224 | Author: 张扬 | Hits:

[Otheradc

Description: 很好的fpgaADC资料,用VHDL编写。可以测量波形的峰峰值及频率,并在数码管上显示-Good fpgaADC information with VHDL. Can measure the waveform peak to peak, and frequency, and in the digital display
Platform: | Size: 470016 | Author: 黄锦辉 | Hits:

[VHDL-FPGA-Verilogpinlvji

Description: 频率计 测量范围1-100MHz 测量阈值0.1s 计数部分为FPGA/CPLD 语言VHDL 显示部分为51 单片机加八位数码管 语言C-Frequency meter Measuring range 1-100 MHZ Measure threshold is 0.1 s Count part of FPGA/CPLD Language VHDL Display part of 51 MCU with eight digital tube Language C
Platform: | Size: 553984 | Author: 冉凯 | Hits:

[VHDL-FPGA-Verilogfrequency-meter

Description: 包含的vhdl文件能够测量频率,并包含需要仿真的图形- files of compressed package can measure the frequency of VHD
Platform: | Size: 102400 | Author: Jack | Hits:

CodeBus www.codebus.net