Welcome![Sign In][Sign Up]
Location:
Search - iic LM75A

Search list

[SCM单片机常用C语言接口程序

Description: 单片机常用c语言接口程序
Platform: | Size: 43256 | Author: wgfbmw | Hits:

[SCMlm75a

Description: LM75A数字接口温度传感器,使用 IIC接口的驱动 程序。结果通过串口回传显示。使用 M16CPU,硬件IIC。-LM75A digital interface temperature sensor, the use of IIC interface drivers. Results showed that serial return. The use of M16CPU, hardware IIC.
Platform: | Size: 4096 | Author: lizhuosheng | Hits:

[SCMlm75a_read_write

Description: 基于LPC2132的IIC的LM75A读写程序,并可完整移植到带IIC功能的MCU开发程序中。-The IIC' s LM75A LPC2132-based literacy program, and a full port to function with the IIC MCU development process.
Platform: | Size: 64512 | Author: baokaiyun | Hits:

[VHDL-FPGA-Verilog13-45

Description: 本程序能够完成的功能是,自动或手动测温,读取配置寄存器,温度下限寄存器,温度上限寄存器,设定温度上限及下限,当温度到达预定的温度的时候报警。所有的读取操作都可以在数码管上显示。其中,温度的上限通过计算机用串口通信协议传输给FPGA内部寄存器然后按动开关写入。同时,本程序还驱动了一个直流电机,温度高的时候电机转速高,温度低的时候转速降低直至停转。数码管可以实时显示电机转速,2秒刷新一次。数码管的显示可以在显示的温度、寄存器值和直流电机转速间切换,切换通过串口进行,计算机发00H时切换到温度及寄存器值显示,发FFH时切换到转速显示。-IIC bus control LM75A temperature sensor, an 8-bit digital display temperature, and read the other three registers, you can also write the other three registers
Platform: | Size: 3570688 | Author: Kimi | Hits:

[VHDL-FPGA-Verilogiic

Description: iic实现对lm75a的访问。包括对寄存器的读和写操作-To achieve the iic lm751a visit. Including of register of reading and writing
Platform: | Size: 1682432 | Author: 涂强 | Hits:

[SCMLM75A

Description: AVR与LM75A通过IIC通信,使用LCD12864显示温度-AVR and LM75A display temperature by IIC communication, use LCD12864
Platform: | Size: 2048 | Author: 聂风 | Hits:

CodeBus www.codebus.net