Welcome![Sign In][Sign Up]
Location:
Search - keyboard interface

Search list

[SCMInterface PC KEYBOARD using 8051 INT pin

Description: Interface PC KEYBOARD using 8051 INT pin
Platform: | Size: 2048 | Author: 高威 | Hits:

[Embeded-SCM DevelopInterface 4x4 matrix keypad with 8051 IO

Description: Interface 4x4 matrix keypad with 8051 IO
Platform: | Size: 4096 | Author: 高威 | Hits:

[Communicationps2_keyboard

Description: 用 vhdl 编写的ps2 keyboard 的接口程序-prepared using VHDL ps2 keyboard interface procedures
Platform: | Size: 7168 | Author: 王晓杰 | Hits:

[Other微机原理与接口实验(复旦)

Description: 第一章 8086程序设计 第二章 MCS-51程序设计 第三章 微机基本系统的设计 第四章 存贮器与接口 第五章 并行接口 第六章 计数器、定时器与接口 第七章 显示器与键盘接口 第八章 串行通信及接口 第九章 数模转换器和模数转换器接口-first Chapter 8086 Chapter II program design MCS-51 Chapter III program design basic computer system design the fourth chapter memory interface and parallel interface Chapter 5 Chapter VI counter, timer and Interface Display and Chapter VII of Chapter VIII of the keyboard interface and serial communication interface Chapter IX Digital and analog-digital conversion interfaces
Platform: | Size: 9617408 | Author: | Hits:

[SCMKEY_DRIVER(C51)

Description: 单片机实现和PS2键盘的接口,C51语言编写,记不清什么时候网上找的了。-MCU and PS2 keyboard interface, C51 language, could not remember what time of the find online.
Platform: | Size: 2048 | Author: 徐HONGZHI | Hits:

[VHDL-FPGA-Verilogps2_soc2

Description: PS2的源代码VHDL语言实现,可以和计算机直接连接.做鼠标键盘接口.-PS2 source VHDL, and can be connected directly to the computer. So the mouse, keyboard interface.
Platform: | Size: 21504 | Author: 喻袁洲 | Hits:

[VHDL-FPGA-Verilogps2_and_VGA_and_verilog

Description: ps/2键盘输入的字符在VGA上进行显示. 本示例是将ps/2键盘输入的字符在VGA上进行显示。在做此实验之前最好先学习PS2键盘接口。-ps/2 keyboard input of the characters in VGA on the show. This example is to ps/2 keyboard input characters VGA website on the show. Do this before the experiment started learning the best PS2 keyboard interface.
Platform: | Size: 51200 | Author: dragon | Hits:

[VHDL-FPGA-Verilogkey

Description: 一个4*4矩阵键盘的VERILOG接口程序设计(FPGA)-A 4* 4 matrix keyboard interface program Verilog Design (FPGA)
Platform: | Size: 199680 | Author: 林虎 | Hits:

[SCMkeyboard

Description: 本文档说明AVR单片机的Atmega 16对键盘按键控制的程序-This document explains AVR MCU Atmega 16 the keyboard keys to control the procedures
Platform: | Size: 19456 | Author: youhu | Hits:

[VHDL-FPGA-VerilogPS2

Description: 使用XLINX的FPGA实现P/S2的键盘接口-The FPGA using XLINX realize P/S2 keyboard interface
Platform: | Size: 3072 | Author: toneytang | Hits:

[ARM-PowerPC-ColdFire-MIPSusb-device-hid-keyboard-project-1.3-iar5-at91sam7x

Description: This application creates an USB HID (Human-Interface Device) keyboard on a host computer. Pressing any of the buttons on the board outputs characters or special keys on the host side
Platform: | Size: 336896 | Author: tangxiaozheng | Hits:

[SCM104keyPS2_program(C)

Description: 104键PS2接口标准键盘程序(C语言)单片机程序例程-104 key PS2 keyboard interface standard procedures (C language) Singlechip routine procedures
Platform: | Size: 23552 | Author: 刘长利 | Hits:

[SCMps2

Description: 单片机与键盘接口程序,C代码,简单好实用。-SCM and the keyboard interface program, C code, a simple and practical good.
Platform: | Size: 90112 | Author: 张展睿 | Hits:

[SCMps2

Description: ps2键盘接口,通过外部中断方式,读取ps2数据。完成ps2接口协议转换-ps2 keyboard interface, through an external interrupt, read ps2 data. Ps2 complete interface protocol conversion
Platform: | Size: 4096 | Author: junchen | Hits:

[ARM-PowerPC-ColdFire-MIPSkeyboard-driver

Description: 单片机键盘驱动资料,包括键盘接口技术介绍、扫描法矩阵键盘驱动程序、行列反转法矩阵键盘驱动程序、AT89S52单片机键盘接口原理图等。程序有详细的注释。资料基于AT89S52单片机系统,键盘为4×4矩阵键盘。-Singlechip keyboard-driven information, including the keyboard interface technology, the scanning matrix keyboard driver, the ranks of matrix inversion method keyboard driver, AT89S52 Singlechip schematics, such as the keyboard interface. Procedures detailed notes. AT89S52 Single-chip-based information system, the keyboard for 4 × 4 matrix keyboard.
Platform: | Size: 69632 | Author: 老树 | Hits:

[SCMps2

Description: 基于Verilog开发的PS2的接口设计,可以模拟PS2的鼠标和键盘的接口!-Verilog-based development of the PS2 interface design, can simulate the PS2 mouse and keyboard interface!
Platform: | Size: 10240 | Author: sq | Hits:

[Windows Developlcd

Description: 使用PS2接口的键盘的小键盘输入,在12864液晶上显示出来,使用平台为CPLD或FPGA-PS2 keyboard interface to use a small keyboard input, in the 12864 liquid crystal display, use the platform for the CPLD or FPGA
Platform: | Size: 1053696 | Author: luojicheng | Hits:

[VHDL-FPGA-VerilogPS_2

Description: 此模块用于"PS/2接口的鼠标或键盘"与"具有外部读写的8位并口单片机"双向通信模块. Verilog HDL语言编写,在Quartus II 8.1 (32-Bit)软件中编译,并下载至EPM7128SLC84-10芯片中通过. 文件中有详细的注解. 此模块具有对于PS/2时钟和数据线的滤波功能,这样减少外部干扰,保证通信的可靠性! -This module for the "PS/2 mouse or keyboard interface" and "read and write with an external parallel port single-chip 8" two-way communication module. Verilog HDL language, in the Quartus II 8.1 (32-Bit) software compiler and downloaded to EPM7128SLC84-10 chip through. document detailed comments. This module has the PS/2 clock and data line filtering, so that to reduce the external interference, and ensure the reliability of communication!
Platform: | Size: 5120 | Author: yuantielei | Hits:

[Documents8051C_ps2

Description: 基于单片机的PS_2标准键盘接口制作,51单片机接入PS2键盘-Based on single-chip production PS_2 standard keyboard interface
Platform: | Size: 504832 | Author: 李晶 | Hits:

[VHDL-FPGA-VerilogSchmitt-trigger-keyboard-interface

Description: 基于施密特触发的键盘接口电路,有效降低触发延迟,缩短键盘反应时间 以verilog实现-Schmitt trigger on the keyboard interface circuit, effectively reducing the trigger delay and shorten the reaction time to verilog implementation keyboard
Platform: | Size: 1102848 | Author: 李拉 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 50 »

CodeBus www.codebus.net