Welcome![Sign In][Sign Up]
Location:
Search - led 8

Search list

[SourceCode8段数码管显示实验

Description: led数码管显示设计-led numerical code tube demonstrated designs
Platform: | Size: 14336 | Author: 凌峰 | Hits:

[SCM6位8段数码管显示-程序

Description: 用汇编语言编写的6位8段数码管显示程序与MS-51单片机实验系统配合使用-assembly language prepared by six of eight digital control display program with the MS-51 used in conjunction with experimental system
Platform: | Size: 1024 | Author: 涛涛 | Hits:

[Com Port8255控制循环LED显示

Description: 一个采用8255芯片控制8个LED灯循环点亮的程序代码-a 8255 chip used to control eight LED lights lit circle code
Platform: | Size: 1024 | Author: | Hits:

[assembly languageLED-dyn

Description: (原创)动态液晶显示,用到8*8发光二极管点阵。显示“信息工程学院”上下显示。-(original) Dynamic LCD, uses 8* 8 LED matrix. Show "Information Engineering Institute" show from top to bottom.
Platform: | Size: 1024 | Author: 杨卓飞 | Hits:

[Com Port8×8dotmatrix

Description: 利用595实现串口数据传输,控制led点阵8×8的显示- Using 595 realizations strings mouth data transmission, controls the led lattice 8脳8 demonstration
Platform: | Size: 1024 | Author: Paco | Hits:

[Other8.8LEDdot

Description: 8×8led点阵字库,可以用来把中文转换成点阵-Lattice 8 × 8led font can be used to convert the Chinese lattice
Platform: | Size: 77824 | Author: 张红霞 | Hits:

[assembly languageVHDLLED

Description: 用VHDL设计8*8点阵显示阵字~~~~!-8* 8 character dot-matrix display RUF ~~~~!
Platform: | Size: 2048 | Author: sfdfsdf | Hits:

[SCM8×8LED

Description: 8×8LED显示屏字模提取软件,我试过了,还蛮好用的,欢迎大家免费下载-8 × 8LED display matrix extraction software, I tried, quite useful, welcome everybody free download
Platform: | Size: 1348608 | Author: 王哲 | Hits:

[SCMled

Description: 流水灯 (1)第1,3,5,7个灯半亮,其余的全亮。 (2)第1个亮1/8,第2个亮2/8,第3个亮3/8,第4个亮4/8,第5个亮5/8,第6个亮6/8, 第7个亮7/8,第8个全亮。 (3)来点小难的,所有的灯逐渐从暗到全亮,再由全亮到暗。 (4)最难的:8个灯从第一个开始依次渐亮,直到最后一个。再从最后一个起渐暗, 直到第一个。如此循环往复。这才是真正的流水灯-Water lamp (1) Section 1,3,5,7 a semi-bright lights, and the remaining full-liang. (2) a light 1/8, 2-liang 2/8, 3-liang 3/8, 4-liang 4/8, 5-liang 5/8, 6-liang 6/8 , No. 7-liang 7/8, 8 full-liang. (3) to point a small difficulty, all the lights gradually from dark to full light, and then from the entire light to dark. (4) the most difficult: 8 light from the first light began to turn gradually, until the last one. Starting from the last dimming until the first one. And so on. This is the real water lights
Platform: | Size: 1024 | Author: 刘占友 | Hits:

[VHDL-FPGA-Verilogled

Description: 8位数码扫描显示电路设计(VHDL)通过编译-8 digital scanning display circuit design (VHDL) through compiler
Platform: | Size: 1024 | Author: hh | Hits:

[VHDL-FPGA-Verilogled

Description: 10位8段LED的扫描显示程序,可以实现10位数的显示。-10脦 禄8 露 脦LED渭脛脡 篓 脙猫脧脭脢 戮 鲁 脤脨貌 拢 卢 驴 脡脪脭脢渭脧脰10脦 禄脢媒渭脛脧脭脢 戮 隆 拢
Platform: | Size: 1024 | Author: jz | Hits:

[Other Embeded programkey+led

Description: proteus 2*8,8段数码管,中断按键仿真,-proteus 2* 8,8 para digital tube, interrupt button simulation,
Platform: | Size: 27648 | Author: | Hits:

[File Format8-LED_test

Description: LED屏的八分屏扫描、这个32个点的扫描程序、使用的芯片有MBI5026,74ch123,74ch138-LED screen s eight-screen scanning, the 32-point scanning procedures, the use of the chip have MBI5026, 74ch123, 74ch138
Platform: | Size: 2048 | Author: | Hits:

[SCMLED-ISPLAY

Description: 64*32点阵电子屏显示程序, 显示了8个16*16点阵的汉字.-64* 32 dot matrix electronics display procedures, showing the 8 16* 16 dot matrix of characters.
Platform: | Size: 1024 | Author: yuxiqian | Hits:

[SCMled

Description: 通过8片74595和16片tip127驱动16*`16*4的led,显示汉字信息,超高亮度的led显示.74595采用串联移位的方式,74154为16选1的行驱动,驱动16片tip127,显示效果良好-74595 and 8 through 16 tip127 Driver 16* `16* 4 led, display of Chinese characters of information, ultra-high brightness of the led display using tandem translocation .74595 approach 74,154 for the 16 election 1 line drive, drive 16 tip127 to show good results
Platform: | Size: 36864 | Author: 许石宝 | Hits:

[SCM8×8LED

Description: 8×8点阵LED显示,基于单片机的人机接口的设计,硬件设计及软件仿真,用到的软件是PROTEUS KEIL-8 × 8 dot matrix LED display, based on single-chip human interface design, hardware design and software simulation software is used PROTEUS KEIL
Platform: | Size: 74752 | Author: 朱祥林 | Hits:

[DSP programLED

Description: 详细说明:这是一个TI DSP2812的GPIO的应用: 跑马灯程序,可以让8个Led灯,每隔一秒钟依序轮流闪烁,附录了完整的程序批注-Detailed Description: This is a GPIO of TI DSP2812 Application: Marquee procedure allows 8 Led lights, then take turns at intervals of one second flashes, appendix a complete endorsement of the procedure
Platform: | Size: 1024 | Author: 申科 | Hits:

[SCM88LED

Description: 8*8点阵led显示功能介绍,包括点阵构成的原理,显示一竖柱,显示一横柱-8* 8 dot matrix led display, including the principle of dot-matrix composition, show一竖column, showing a horizontal column
Platform: | Size: 54272 | Author: lxc | Hits:

[DSP programDSP28335-Led

Description: DSP28335所编写LED流水灯程序,延时可调。-DSP28335 LED resource
Platform: | Size: 548864 | Author: yaojun | Hits:

[SCMLED-8

Description: 八路LED花样走马灯,开机时LED从两边向中间一个一个点亮,再从中间向两边一个一个熄灭,再从右边一个一个向左边点亮,再从左边向右边一个一个熄灭-Octal LED pattern revolving door, boot from both sides toward the middle LED lit one by one, and then one by one from the middle out to both sides, and then one by one to the left from the right light, and then one by one from the left to the right out! !
Platform: | Size: 21504 | Author: 何幸 | Hits:
« 12 3 4 5 6 7 8 9 10 ... 50 »

CodeBus www.codebus.net